(1)掌握24秒计时电路的设计、仿真与调试; (2)掌握计时暂停、清零电路的设计、仿真与调试; (3)掌握计时显示电路的设计、仿真与调试; (4)掌握计时开始和计时终止报警电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结;
2024-05-16 18:09:26 361KB
1
24秒倒计时的PCB电路图。\也可洞洞板、基本功能可以达到
2022-11-05 17:53:59 117KB 电路图
1
24秒倒计时的vhdl程序,采用Max plus II
2022-06-04 23:29:45 397KB vhdl 24秒倒计时 Max plus
1
 篮球比赛中,进攻一方取得球权后双方开始比赛,若24秒到,该进攻一方仍然没有投球时,需要交换发球权。本实验要求设计一个24秒违例计时器(倒计时方式),当计时24秒时间到,用一个红色指示灯亮表示,指示灯持续点亮5秒。 要求电路设置一个启动开关,当该开关断开时,数码管显示24秒,当开关闭合后,电路开始运行,期间断开该开关,数码管又重新显示24秒。 
2022-05-11 13:42:52 241KB 数电 24秒倒计时 Multisim 指示灯
1
24秒倒计时设计总结,用74ls190做24秒倒计时设计
2022-05-11 09:10:55 88KB 24秒倒计时
1
篮球24秒倒计时电路
2021-12-31 12:19:37 58KB 24
1
基于Proteus的篮球赛24秒倒计时器设计与仿真.pdf
2021-07-12 13:01:32 1000KB multisim
1
数电课程设计篮球24秒倒计时.zip
2021-07-12 13:01:32 937KB multisim
1
篮球24秒倒计时设计
2021-07-06 09:09:24 2.36MB 学习资料
1
数字电子技术课程设计 篮球比赛24秒倒计时计时器设计
2021-07-01 08:23:59 1.38MB 计时器
1