12进制计数器的VHDL程序设计
2021-12-17 09:10:17 159KB 12进制 计数器 VHDL程序设计
1
首先,因为74ls160是十位计数器,所以要用两个741s160实现12进制计数器;个位计数器开始运行计数功能0000-1001,然后用RCO变成1,启动十位计数器计数功能,十位计数器变成1,个位计数器从0重新开始,RCO变为0,十位计数器保持1状态,个位计数器到0010时,启动瞬间异步清零,将两个计数器清0,回归原状态0,即可实现0-11的12进制计数器
2021-12-05 16:53:41 204KB 电子信息工程
1
带允许端的十二进制计数器设计报告 目录 带允许端的十二进制计数器设计报告 1 一、 题目剖析 2 二、 设计思路 2 三、 设计过程 2 1 、 真值表 2 2 、 源代码分析 4 四、 仿真分析 6 1 、 波形分析 6 2 、 注意事项 6 五、 总结 7
2021-08-21 09:38:03 418KB 计数器 硬件描述语言 VHDL Quartus
60,12进制计数器.DSN
2021-02-23 20:02:53 90KB 数字信号处理
1
用于数电实验作业,可以通过控制开关,使进行十二进制的加减法,并且在七段数码管上显示。主要用于SYSU的数电作业。
2019-12-21 22:05:45 21KB protues J-K
1
基于basys2的12进制计数器,已运行通过,用vhdl语言编写,开发软件为ISE
2019-12-21 21:26:14 837KB vhdl 12进制计数器 basys2板卡
1
数字逻辑设计 74LS160实现十二进制计数器
2019-12-21 21:10:23 62KB multism 8 实现
1