本电路采用CD4518芯片,此芯片是二、十进制(8421编码)同步加计数器,内含两个单元的加计数器。有了计数编码输出之后,我们就要进行编码了,我们采用CD4511这样一个译码芯片,它是一个七段码译码器,具有BCD转换,消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流,可直接驱动共阴LED数码管。此电路可以通过脉冲信号的输入,进而进行0到99之间的计数。 4518芯片管脚功能图: 4511芯片管脚功能图: 电路原理图截图: PCB图截图:
2022-12-12 16:29:15 1.01MB 数电 电路方案
1
10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 downto 0) ); end counter_10; architecture Behavior of counter_10 is signal temp: std_logic_vector(3 downto 0); begin num_out <= inner_reg; process(clock,reset)
2022-05-19 15:00:56 598B 计数器 VHDL
1
FPGA 10进制计数器 VHDL语言 FPGA 10进制计数器 VHDL语言
2021-11-19 14:23:25 396KB FPGA 10进制 计数器
1
FPGA设计一个带有异步复位控制端和时钟使能控制端的10进制计数器。 端口设定如下: 输入端口:CLK:时钟,RST:复位端,EN:时钟使能端,LOAD:置位控制端, DIN:置位数据端; 输出端口:COUT:进位输出端,DOUT:计数输出端。
2021-06-23 09:02:21 2.92MB verilog fpga
1
10进制计数器 vhdl程序 quartus仿真 带进位复位功能
2019-12-25 11:15:17 284KB 10进制计数器 vhdl quartus
1