AdventNet Agent Toolkit C Edition 因工作需要,可是10分太贵了, 现以2分分享给大家。 谢谢!
2022-03-08 14:20:49 42.18MB AdventNet Agent Toolkit C
1
经典例子,自己以前项目中用过的,必须10分的资源..............
2021-12-02 18:10:47 15KB JAVA JSP AJAX 经典例子 AJAX三级联动
1
2N分頻器5分頻器10分頻器分頻器器VHDL逻辑设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 ibrary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity clk_8div is port( clk:in std_logic; clk_div2:out std_logic; clk_div4:out std_logic; clk_div8:out std_logic); end clk_8div; architecture rtl of clk_8div is signal counter :std_logic_vector(2 downto 0); begin process(clk) begin if(clk'event and clk='1') then if(counter="111") then counter'0'); else counter<=counter+1; end if; end if; end process; clk_div2<=not counter(0);--ȡ��һλ clk_div4<=not counter(1); clk_div8<=not counter(2); end rtl;
如何快速架設網站-WordPress教學
2021-07-05 09:01:06 27.44MB WordPress
1
ATM机程序设计要求 1. 需要有登录,查询余额,取款,存款,转账,交易记录,卡号管理等功能,程序用winform; 2. 输入卡号,密码登录,其中设置一个管理帐号,登录管理帐号可以添加卡号,卡号为19位数字,密码为6位数字; 3. 卡号管理:卡持有人的姓名,身份证号(身份证号必须验证),卡密码(需要二次效验); 4. 正常持卡人登录后,会看到取款,存款,转账,修改密码,交易记录,退卡等信息。 5. 取款:显示100,200,500,1000,3000,其他金额(只能是100的整数倍),返回等信息,取款结束后,提示继续取款或者返回主界面等信息; 6. 存款:与取款大致一致; 7. 转账:二次效验转入卡号,效验转入卡号与收款人姓名是否一致,效验是否存在这个转入卡号,转出金额不能大于转出卡的余额; 8. 交易记录:查询登录卡号的交易记录,包括存款,取款,转账等信息,格式为时间,操作行为(取款,存款,转账),金额,余额; 9. 查询余额:查看当前卡里还有多少钱; 压缩包内有数据库
2021-06-18 03:54:28 1.08MB ATM 模拟取款机
1
让我们的学习就像玩一样,不再有“压力” 看了教程后,不过你也要仔细研究一下,绝对会在“半小时”入门 怎么样是不是看到“宝”了呀,这可是我的半个月的“努力成果”哟
2021-06-11 15:20:57 4.97MB DELPHI 入门教程 10分努力 DELPHI7
1
此作为博客(Android自定义带有联动时间选择器(年,月,日,周,十,分)备录)的示例代码如有问题,请留言
2021-05-20 09:56:08 1.19MB android 时间选择器
1
西南交通大学 报告仅供参考,请独立完成作业
2021-03-11 15:04:00 102KB 数据结构 c++ c B-树
新建客户添加自定义字段,放在附加数据屏幕,文档里有每一步的截图和详细说明和会源代码截图,真实项目都是自己做的,就算是小白照着做也能完成。
1