用MATLAB绘制波形形成后的双极性非归零码时域波形及其眼图的绘制需要注意的是,程序中用到sigexpand,因此要重建一个m文件,命名为:sigexpand.m。
2021-11-27 15:47:49 52KB 眼图
1
在高速光纤通信系统中码型的选择是决定系统传输质量和光谱效率的主要因素。码型的选择和信道速率、信道波长间隔、光放大器的选择、光放大器放置间隔、光纤的类型、色散管理策略等各种因素密切相关。分析了非归零码(NRZ)、归零码(RZ)和载波抑制归零码(CS-RZ)码型的产生方式及特点。采用单信道和掺铒光纤放大器(EDFA)放大方式对三种码型进行了40 Gb/s的100 km G.652光纤通信传输实验。比较了三种码型的系统传输特性、最佳入纤功率和不同入纤功率下的功率代价:载波抑制归零码最佳入纤功率为9 dBm,功率代价小于非归零码和归零码。结果表明,在相同的色散补偿条件下,载波抑制归零码比归零码和非归零码有更优的非线性容忍度。
2021-03-06 15:16:05 1.08MB 光通信 非归零码 归零码 载波抑制
1
数值模拟了一种利用高非线性光纤(HNLF)中的交叉相位调制(XPM)效应实现归零(RZ)码到非归零(NRZ)码的转换方案,讨论了RZ信号的占空比对转换后NRZ码性能的影响。转换后NRZ码的性能受输入RZ信号占空比的影响,当RZ信号占空比在30%~50%范围内可实现较好地转换。同时,实验实现了码率为10 Gb/s、占空比为33%的 RZ码到NRZ码的转换,对比了连续探测光的原始谱和展宽谱,给出了转换前后信号的典型眼图和误码率(BER)特性。结果显示,在误码率为10-9时,由RZ码转换到NRZ码引入的功率代价不到1 dB。进一步的实验验证了这种方案在大于160 Gb/s或更高码率下的可行性。
2021-03-06 15:01:00 2.92MB 光纤通信 码型转换 交叉相位 高非线性
1