基于振荡器采样的真随机数发生器(模拟IC设计)
2024-04-07 17:37:21 3.96MB 模拟IC设计
1
RNG随机数发生器测试
2024-02-28 10:31:52 7.29MB stm32
1
GM/T 0105-2021 软件随机数发生器设计指南,送稿版
2022-07-15 18:00:10 1.66MB GM/T0105-2021 0125 软件随机数发生器
1
STM32F4_随机数发生器实验例程.rar
2022-05-15 13:31:20 514KB stm32f4 随机数发生器 实验 例程
1
基于ADC采样的真随机数发生器的熵估计
2022-05-10 23:36:24 2.56MB 研究论文
1
  本程序可以快速产生高质量真随机数,生成速率在每秒200M字节以上。
2022-05-02 10:24:05 24KB 随机数
1
本例介绍一款采用数字集成电路制作的随机数发生器,每按动一次控制按钮,就会得到一个00-99范围内的随机数字。  电路工作原理  该随机数发生器电路由脉冲发生器、计数器、译码驱动器电路、LED数码显示器和音频电路组成,如图2-96所示。   脉冲发生器电路由六非门集成电路ICl内部的非门电路Dl、D2和电阻器Rl、电容器Cl组成。非门D3为缓冲器。  音频电路由电阻器R2和ICl内部的非门D4-D6和压电蜂鸣器HA组成。  计数器电路由集成电路IC2和1C3组成。  译码驱动器电路由集成电路IC4和1C5组成。  接通电源开关Sl后,ICl-IC5通电工作,按下控制按钮S2后,脉冲发生器产生的
1
在基于真空的量子随机数发生器中增强可提取的量子熵
2022-01-25 16:21:46 1.62MB 研究论文
1
设计并实现了一种基于FPGA的真随机数发生器,利用一对振荡环路之间的相位漂移和抖动以及亚稳态作为随机源,使用线性反馈移位寄存器的输出与原始序列运算作为后续处理。在Xilinx Virtex-5平台的测试实验中,探讨了振荡器数量以及采样频率等参数对随机序列的统计特性的影响。测试结果表明本设计产生的随机序列能够通过DIEHARD测试,性能满足要求。由于仅使用了普通逻辑单元,使得本设计能够迅速移植到ASIC设计,大大缩短了开发周期。
1
劳塔诺帕 FPGA 的硬件随机数发生器 设计 Rautanoppa 通过组合环形振荡器的输出,在 Verilog 中实现了一个基本的 HWRNG。 使用足够数量的自然抖动时,自然抖动会产生通过 FIPS 140-2 测试的随机比特流,如 rng-tools 中所用。 比特流通过 RS-232 串口输出。 串行端口 (UART) 代码逐字改编自 。 可以使用 USB 串行适配器和/或 TTL 电平信号代替传统的 RS-232 端口。 实现 Digilent Nexys2 / Xilinx Spartan 3E 500k Terasic DE2-115 / Altera Cyclone IV 4CE115 在这两种情况下,大部分代码是相同的。 这些实现之间的必要差异主要是由于 时钟管理(Altera PLL / Xilinx DCM) 调试显示 将这些移植到其他具有合适 I/O 的 X
2021-11-26 11:03:44 249KB Verilog
1