里面包含有基于VHDL语言编写的自动售货机程序,同时有详细的注释说明,并且附上仿真图,能够实现货物信息存储,进程控制,硬币处理,余额计算,显示等功能,显示的钱数coin以5角为单位。
2021-11-24 15:44:32 144KB 自动售货机VHDL程序与仿真
1
自动售货机VHDL程序与仿真
2021-07-05 15:50:08 193KB 自动 售货机 vhdl 程序
1