电梯控制器VHDL程序与仿真。 -- --文件名:dianti.vhd。 --功能:6层楼的电梯控制系统。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; -- 超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vect
2022-06-20 18:04:33 256KB 文档资料
电梯控制器的VHDL程序设计与仿真
2022-06-15 12:05:21 2.41MB 文档资料
基于VHDL硬件描述语言的基带码发生器程序设计与仿真。包括非归零、单极性归零、双极性归零、交替极性、差分、分相码(曼彻斯特码)等。
2022-06-08 13:21:37 78KB VHDL FPGA 基带码发生器
1
单片机项目-单片机红外接收解码程序设计带仿真PPT.rar
2021-12-04 12:04:27 39KB
单片机项目-基于VB的上位机程序设计带仿真PPT.rar
2021-12-04 12:04:26 439KB
基于51单片机设计的数据采集模块,注意:压缩包里只包含Proteus仿真文件和keil编写的51程序,可以直接使用Proteus配合keil生成的hex文件仿真运行,但不包括项目介绍里的硬件和上位机设计。下面是项目简介(随着现代化生产和测试的需要,数据采集系统也有了很大的发展和进步。单片机作为嵌入式处理器的一种具有价格低廉,便于开发利用,且拥有较好的数据处理能力的优点。本文结合单片机应用的优势和数据采集系统的灵活性,设计了一种基于STC89C52RC单片机和TLC2543串行ADC转换器的数据采集模块,并且实现了LCD实时显示、与PC机通信、上位机系统的数据显示和记录,完成实物并进行系统验证。)
1
该资源为2017年华东师范大学839数据结构(含C语言程序设计)考研仿真模拟题及答案详解,资源高清无水印哦!
频率计程序设计与仿真 fpga 源代码 原理图 仿真
2021-06-03 09:29:10 147KB 频率计 程序设计与仿真 fpga
1
protues在ARM系统中的应用百例 protues在ARM系统中的应用百例 protues在ARM系统中的应用百例
2021-05-15 15:05:33 4.86MB LPC2106
1