C#编写的秒表程序!秒表可以多次计时,用于体育比赛
2023-12-08 05:07:02 32KB
1
基于VHDL的秒表计数器,12864液晶显示,基于ISE的开发平台
2022-10-19 10:50:35 572KB VHDL ISE 秒表 12868
1
51单片机的秒表数码管程序,可以暂停,继续,复位
2022-09-21 13:00:36 14KB 51_秒表 程序_暂停_复位
51单片机秒表程序设计
2022-06-13 18:05:04 290KB 文档资料
单片机秒表程序与原理图
2021-12-24 09:21:18 48KB 单片机
1
vhdl设计的秒表程序 含有三个子模块 CNT10 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT10 is port(count:out std_logic_vector(3 downto 0); cout:out std_logic; cin,rst,clk:in std_logic); end CNT10; architecture behavioral of CNT10 is signal counter:std_logic_vector(3 downto 0); begin process(clk,rst) begin if rst='1'then counter<="0000";cout<='0'; elsif clk'event and clk='1' then if cin='1' then if counter="1001"then counter<="0000";cout<='1'; else counter<=counter+"0001"; cout<='0'; end if; end if; end if; end process; count<=counter; end behavioral; CNT6 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT6 is port(count:out std_logic_vector(3 downto 0); cout:out std_logic; cin,rst,clk:in std_logic); end CNT6; architecture behavioral of CNT6 is signal counter:std_logic_vector(2 downto 0); begin process(clk,rst) begin if rst='1'then counter<="000";cout<='0'; elsif clk'event and clk='1' then if cin='1' then if counter="101"then counter<="000";cout<='1'; else counter<=counter+"001"; cout<='0'; end if; end if; end if; end process; count(2 downto 0)<=counter; count(3)<='0'; end behavioral; CLKGEN library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CLKGEN is port(CLK:in std_logic; NEWCLK:out std_logic); end CLKGEN; architecture one of CLKGEN is SIGNAL CNTER:INTEGER RANGE 0 TO 16#270F#; BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1'THEN IF CNTER=16#270# THEN CNTER<=0; ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) BEGIN IF CNTER =16#270F# THEN NEWCLK<='1'; ELSE NEWCLK<='0'; END IF ; END PROCESS; END one;
2021-12-16 22:58:52 1.99MB vhdl、秒表
1
基于FPGA,用VHDL语言编写的计时秒表程序,运行成功,可供大家参考使用。
2021-12-02 09:14:06 2.72MB VHDL FPGA 计时秒表
1
一个简易实用的秒表程序 给大家分享下 共同学习啊
2021-11-28 18:20:55 2KB 秒表 c++
1
有电子时钟,计时器,秒表程序功能的vc++程序
1
本文为大家提供一个基于51单片机秒表的程序设计,希望对感兴趣朋友有所帮助。
2021-11-14 12:35:29 135KB 51单片机 秒表 程序设计 文章
1