MIT电子琴设计Verilog,利用开发板实现对电子琴的设计。麻省理工学院课程大作业要求。包含详细过程和完整代码,全英文。
2021-12-16 20:13:36 621KB Verilog
1
大学课程设计简易电子琴设计,verilog代码编写,能够实现中高音以及7种旋律
2021-04-29 23:04:30 2KB 电子琴,verilog
1
一个关于verilog课的大作业做得一个简易电子琴的程序代码和大作业文档
2019-12-21 21:44:52 129KB verilog dianziqin
1
基于FPGA的中频电子琴 通过八个按键来控制发声,外接喇叭或者蜂鸣器 可以自己编写曲目来进行演奏
2019-12-21 18:50:59 1KB FPGA verilog 电子琴
1