基于AT89C51的简易电子琴单片机课程设计报告书.doc
2022-12-22 16:36:46 411KB 互联网
1
基于AT89C51的简易电子琴单片机课程设计报告书_(2).doc
2022-11-29 10:50:11 381KB 互联网
1
51单片机4矩阵键盘模拟电子琴程序源码。
2022-05-26 10:47:44 17KB 单片机电子琴 单片机
电子琴 1. 实验任务 (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。
2022-02-26 22:22:16 49KB 电子琴 单片机
1
单片机电子琴源程序
2021-12-05 09:02:38 10KB 电子琴单片机
1
电子琴 单片机 仿真 proteus 包括源代码C语言/汇编,适合课程设计
2021-11-04 10:58:55 97KB 电子琴 单片机 仿真 proteus
1
基于AT89C51的简易电子琴单片机课程设计报告书.doc
2021-09-25 12:02:07 380KB 文档
用51单片机做的自制电子琴,比较简单,代码全部都有,有部分实物图。有8个音,还有9首歌曲,可以切换自动播放歌曲,也可以手动弹
2021-06-30 22:00:42 9.73MB 电子琴 单片机 简易
1
飞思卡尔 C编写,包括论文,代码, WORD版
2021-06-19 17:37:18 74KB 电子琴 单片机 论文
1
用矩阵键盘代表琴键,至少能弹出8个音符,分别是:音符 1,2,3,4,5,6,7, 。 键按下的时间长短表征节拍的长短。 用蜂鸣器发出声音。 点阵实时动态显示音符高低(自由显示)。
2019-12-21 20:44:38 2MB 简易电子琴 单片机
1