IEEE754的浮点数加法器,谢谢大家的使用,是个很好的离子
2022-02-10 16:19:20 4KB IEEE754
1
32位浮点数加法器基于system verilog,可以实现串行输入的两个标准化的32位浮点数的相加并得到标准化的结果。此外该加法器能处理“特殊”数字:零、正无穷、负无穷和“非数字(NaN)”。本文件带测试代码
2021-08-07 14:06:25 3KB verilog 浮点数加法器 systemverilog
1
32位浮点数加法器 也算是减法器 其中32位浮点数用的是IEEE 754标准表示的 根据别人的改写的 有问题欢迎大家指出 信号定义不是很完整 verilog编写的
2020-01-03 11:18:01 4KB IEEE754 加法器
1
利用verilog,以IEEE754标准实现浮点数加法
2019-12-24 03:19:37 1.58MB Verilog 浮点数 加法器
1