VHDL Quartus 循环 LED 流水灯 源代码 前言 流水灯是每个学电子的入门“游戏” ,示意图如图 1,其原理极其简单,但是可 玩性却极强,可以就 8个 LED写出不同花样的程序 。 源代码 --wangding --north university of china --2015 11 20 --LED_shumaguanDTSMXS LIBRARY IEEE; --库文件 USE IEEE.STD_LOGIC_1164.ALL; ENTITY DTSMXS IS --文件名必须 与实体名相同 PORT(CLK:IN STD_LOGIC; --定义时钟输 入端口 B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1:IN
2021-08-21 09:38:00 273KB Quartus VHDL 硬件描述语言 流水灯
心形LED流水灯可作为一个趣味性的电子练习模块,也可以作为单片机的系统板使用,板上有程序下载口,也预留了单片机的扩展接口;板子的功能结构图如下: 单片机心形LED流水灯功能说明: 单片机:无论是散件还是成品,单片机里面都烧录有LED 流水灯的程序,装上单片机通电就可以看到LED 流水灯运行的效果。 LED 流水灯:用来显示流水灯的运行程序,有30 种花样。 DC 接口:用来给板子供电,用USB 电源线连接电脑USB 口或者手机USB 充电器可以。 电源开关:控制板子通电。 接线柱供电口:可以接5V 电源线,比如可以用3 节5V 电源供电,通过这个接线柱接入。 TTL下载口:用来给STC 单片机下载程序用,一般用USB 转TTL 模块下载程序(需要另买)。 单片机扩展口:当把板子作为单片机系统板使用时,可以焊接上排针,进行单片机扩展实验(排针需要另买)。 单片机心形LED流水灯电路原理图: 单片机心形LED流水灯PCB图: 电路城语:此资料为卖家免费分享,不提供技术支持,请大家使用前验证资料的正确性!如涉及版权问题,请联系管理员删除! 附件包含以下资料:
1