一个带异步清零端的同步可逆模10计数器。同时提供1位LED数码管计数显示。M为 控制端,M=0,增1计数器,M=1,减1计数器;clr为清零端,高电平有效。Start:启动信号,高电平有效。
2021-10-22 20:16:55 282KB SOC 计数器 FPGA Verilog
1
利用verilog HDL编写的模10计数器,使用DE0版,图文教程,绝对详细
2019-12-21 22:02:16 751KB 模10计数器 verilog quartus使用
1