为便于查找该路径中的文件名以中文命名,需改为纯英文即可调试仿真!
2023-03-15 10:53:56 441KB VHDL 模可变计数器
1
熟练掌握计数器的设计和检测。  学习并掌握信号的简单检测以及其电路的设计。  学会利用所学知识设计不同要求的电路以实现不同的逻辑功 能。           熟练multisim的操作技能 设计一个模可变递增同步计数器,当控制信号X=0时为三进制计数器, X=1 时为四进制计数器。设置一个进位输出端C。 内部包含ms文件。
2021-12-19 00:37:54 233KB 数字电路
1
VHDL的实现,有个变模位,当m为0时,实现23计数,当m为1时,实现109计数,如果要求其他的计数的话,只要稍稍改动就可
2021-12-15 15:33:43 1016B 模可变 VHDL
1
模可变计数器的设计(VHDL语言)是一个控制位M,当M=0时,模23计数;当M=1时,模109计数。
2021-11-29 09:58:36 79KB 模可变计数器的设计
1
模可变计数器 vhdl实现 验证过 编译通过
2021-11-20 14:14:57 372KB vhdl
1
用QUARTUS设计摸20|60的模可变计数器,文本设计
2021-11-08 19:09:58 1KB EDA
1
学习多层次设计方法,设计一位控制为M,使M=0;模23记数;M=1;实现109记数;结果用静态数码管显示。
2021-11-03 21:35:19 239KB EDA,模可变计数器
1
CLK为时钟输入,RST为异步清零端,D[2..0]为模式控制端,可实现8种不同模式的计数方式,本计数器可供选择的计数模式分别为:七进制,十二进制,二十四进制,二十八进制,三十进制,三十一进制,六十进制,三百六十五进制。
1
EDA实验模可变计数器 设计程序,已通过
1