高斯最小键控matlab仿真,学习仿真技术,通信原理
2022-12-30 09:13:13 126KB 高斯 键控
1
最小频移键控(MSK)调制器.svu,可以直接通过Systemview软件打开
2021-12-17 20:13:59 23KB 移动通信
1
1).模拟最小频移键控原理 2).模拟最小频移键控在AWGN信道的抗噪性能 3).完成实验任务和记录实验数据,整理实验结果,完成实践报告 有报告和源程序
2021-12-02 21:39:38 392KB 通信原理 最小频移键控 MSK matlab
1
偏置正交相移键控及4正交差分相移键控 最小频移键控(MSK)及高斯最小频移键控(GMSK)
1