vhdl 一位全加器 行为描述 数据流描述 结构描述
2022-03-07 16:00:39 1KB vhdl 全加器 行为描述 数据流描述
1
采用VHDL三种描述方式进行了加法的设计,每个工程都带有仿真波形,用QuartusII 做的。
1