完整的uvm验证用例 DUT为一个输入输出都打拍的乘法器,包含driver、agent、env、reference model、scoreboard、sequence等组件
2022-09-05 21:23:49 11.5MB uvmic验证
1
uvm+vcs+verdi基本平台搭建,也许平台不是很难,但是网上没有 UVM 在 VCS 中的详细教程,但是对于初学者就是一道屏障,我探索了几天,下文将一步一步的举例子说明 UVM+VCS+Verdi 的 liunx 平台搭建过程
2021-08-12 09:11:09 9.64MB UVM 数字芯片验证 UVM VCS VERDI
1
perl脚本自动生成UVM验证框架
2021-03-19 09:04:53 270KB 数字芯片验证 UVM systemverilog perl
1