USB-Uart 用于带有RTS / CTS硬件握手协议的USB UART接口的Verilog模块 这是我的verilog学习经验的一部分。 该项目的大部分内容来自该模块已进行了调整,可以处理RTS / CTS握手。 它已在Xilinx SPARTAN-6 FPGA SP605评估套件上实现。 已知的问题 此代码在SP605评估套件上以环回模式进行了测试,在该模式下,解串器循环回到串行器。 波特率115200 FPGA时钟速度为200 MHz 将时钟频率从27mhz增加到100mhz并未显示出数据质量的任何显着改善。 降低波特率也许可以减少数据损坏问题。 原因-仍然未知可能的位置-反序列化模块(UART_RX.v)
2022-07-26 16:43:57 23KB Verilog
1
压缩包里面包含四个有关握手协议的文件: 1. app_note_zc001.pdf 2. handshake.ppt 3. pipe.doc 4. valid_ready.doc 希望对大家理解握手协议有所帮助!
2021-12-27 21:55:58 588KB 握手 协议 Handshake Protocol
1
基于FPGA的全局异步局部同步四相单轨握手协议实现.pdf
2021-07-13 15:13:05 334KB FPGA 硬件技术 硬件开发 参考文献
ESP32与STM32的SPI半双工通信,含握手协议,esp-idf版本v4.0.1(利用VSCODE,内含bin二进制文件)包含STM32CUBEMX工程模板,STM32版本为F446
2021-06-11 22:05:32 80.57MB STM32 ESP32 SPI通信 半双工
1
设备自动化协会标准E84,半导体制造行业自动运输系统需要遵守的通讯标准。 中文版握手协议讲解,更容易理解。
2021-03-08 14:06:08 30.99MB E84 握手协议 E84中文版
1
TBOX和网关握手协议_V1.14_20181018.pdf
2020-01-03 11:42:16 244KB aa
1