该程序是六位抢答器的EDA的Verilog程序,设有提前抢答报警
2022-06-16 14:38:07 1.32MB EDA Verilog
1
品质管理培训课件—抢答题.docx
2022-02-10 09:05:12 10KB
课题十六 智力竞赛抢答计时器的设计 一、 课题说明 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置计时、计分、犯规奖惩计录等多种功能。
2021-05-28 21:02:41 21KB 抢答题 答题器
1