此程序是用verilog语言实现的伪随机序列,程序里面对同步字节、数据包、数据帧都有说明,使得程序明白易懂。 此程序是用verilog语言实现的伪随机序列,程序里面对同步字节、数据包、数据帧都有说明,使得程序明白易懂。
2022-11-11 16:21:54 1KB verilog 伪随机
1
M序列发生器FPGA开发实例 伪随机数发生器
2022-11-02 15:21:38 290KB M序列 伪随机数 FPGA VHDL
1
FPGA的伪随机序列发生器设计,写得比较详细,分享给有需要的朋友
2022-07-17 18:33:31 235KB FPGA 伪随机序列
1
matab m序列仿真真正的m序列
2022-06-12 10:22:58 241B matlab
1
1011序列发生器与检测器[归类].pdf
2022-05-21 23:09:01 247KB
1
TMidiGen,一款Midi序列发生器控件,在内存中产生MIDI序列并播放
2022-01-18 21:00:21 381KB TMidiGen Midi Delphi
1
经典的基于matlab的m序列发生器,希望对大家有用
2022-01-03 21:20:23 688B matlab
1
为便于查找该路径中的文件名以中文命名,需改为纯英文即可调试仿真!
2021-12-23 16:28:20 97KB VHDL 序列发生器
1
伪随机序列 发生器 verilog quartus仿真,带ROM模块
2021-12-10 09:40:34 952KB 伪随机序列 发生器 verilog
1
此程序是用verilog语言实现的伪随机序列,程序里面对同步字节、数据包、数据帧都有说明,使得程序明白易懂。 此程序是用verilog语言实现的伪随机序列,程序里面对同步字节、数据包、数据帧都有说明,使得程序明白易懂。
2021-11-30 15:02:17 1KB verilog 伪随机
1