本文介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。
2022-06-12 16:14:05 95KB DDS FPGA DSP 文章
1
0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根据要求在线更新配置,系统开发趋于软件化、自定义化。本文研究了基于FPGA的DDS信号
2022-05-09 14:32:21 267KB 基于FPGA的DDS信号发生器设计
1
基于FPGA的DDS信号发生器设计
2021-12-12 15:57:57 1.93MB 基于 fpga DDS 信号发生器设计
1
本文介绍了一种基于FPGA的DDS信号发生器的具体设计,可产生正弦波,三角波,方波以及自定义波
2021-07-07 10:15:45 297KB FPGA DDS 信号发生器
1
基于FPGA的频率合成信号发生器设计 基于FPGA的频率合成信号发生器设计(VHDL)基于FPGA的频率合成信号发生器设计(VHDL)
2021-05-03 17:53:44 3.05MB 基于FPGA的DDS信号发生器设计
1
具体看博客:https://blog.csdn.net/qq_33231534/article/details/108424647 DDS全称为直接数字频率合成(Direct Digital Synthesis),其基本原理是在一个周期波形数据下,通过选取其中全部数据或抽样部分数据组成新的波形,由奈奎斯特采样定理可知,最低两个采样点就可以组成一个波形,但实际上最少需要4个点。其原理框图如下
2021-04-19 15:42:43 22.08MB fpga verilog
1
基于xilinx公司的FPGA,设计了一套DDS信号发生器,产生正弦波 方波 三角波 锯齿波四种波形,并且波形频率可调
2019-12-21 21:16:00 5.54MB FPGA DDS VHDL xilinx
1
完整的DDS工程文件,平台是Quartus13.0,包含modelsim仿真文件,文档里含有代码,适合初学DDS用户使用
2019-12-21 19:54:58 3.25MB DD;Verilog
1