这是一个基于FPGA简易电子密码锁的设计的作品,是用verilog HDL语言编写的,压缩包里包含各模块代码以及理论论文和答辩PPT,制作一个月,希望好评!!!
2021-05-17 22:32:00 50.17MB FPGA
1
数码输入:每按下一个数字键,就输入一个数值,并在显示器最右方显示该数,同时将先前输入 的数依次左移一位。数字0-9,对应开关sw[0]到sw[9]。 数码清除:按下此键清除前面所有的输入值,成为“00000000”,reset为开关16, reset=0 按键14start
2021-04-19 17:27:11 4.45MB 密码锁 智能设计 de2_70
1
基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比, 具有许多独特的优点: 保密性好, 防盗性强, 可以不用钥匙, 记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术, 以单片机为主要器件, 其编码器与解码器的生成为软件方式。在实际应用中, 由于程序容易跑飞, 系统的可靠性能较差。本文介绍一种基于现场可编程门阵列FPGA 器件的电子密码锁的设计方法采用VHDL语言对系统进行描述。
2021-03-18 16:21:16 683KB 基于FPGA
1
基于FPGA的电子密基于FPGA的电子密码锁基于FPGA的电子密码锁基于FPGA的电子密码锁基于FPGA的电子密码锁码锁
2019-12-21 22:25:46 222KB 基于FPGA的电子密码锁
1
通过Verilog编程,可实现电子密码锁的功能,分为设置密码,密码清零,验证密码,重置显示4个部分
2019-12-21 21:45:35 219KB FPGA
1
在电子技术飞速发展的今天,具有防盗报警等功能的电子密码锁代替弹子锁和密码量少,安全性差的机械式密码锁已是必然趋势。随着电子技术的发展,电子密码锁的设计也在不断地发展,有传统的PCB板设计、用PLC设计或者用单片机设计等。其中,使用较多的是基于单片机技术的设计。以单片机为主要器件, 其编码器与解码器的生成为软件方式。在实际应用中, 由于程序容易跑飞, 系统的可靠性能较差。而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。 本文介绍的是一种基于现场可编程门阵列FPGA 器件的电子密码锁的设计方法。本文采用EDA技术,利用Quartus II工作平台和硬件描述语言,设计了一种电子密码锁,并通过一片FPGA芯片实现。 设计充分利用了FPGA的资源可编程特性,可高效率的对系统进行升级与改进.用FPGA 器件构造系统, 所有算法完全由硬件电路来实现, 使得系统的工作可靠性大为提高。由于FPGA具有IsP功能,当设计需要更改时, 只需更改FPGA 中的控制和接口电路, 利用EDA 工具将更新后的设计下载到FPGA 中即可, 无需更改外部电路的设计, 大大提高了设计的效率。 另外,在本文设计的系统中充分考虑了实际生活的需要,加入了键盘防抖、数码显示控制、自动报警的功能使得设计人性化、实用化,真正起到了为现实生化服务的目的。因此,该密码锁具有较高的推广价值
2019-12-21 21:07:59 1.06MB 电子密码锁 FPGA
1
基于FPGA的电子密码锁的设计报告 verilog代码
2019-12-21 19:47:58 631KB verilog 电子密码锁 FPGA
1