摇头灯量产方案 8841步进电机驱动硬件程序、雅特力单片机、数码管4位显示、485通讯、DMX512通讯、DC-DC降压电路、按键扫描、LED驱动电路、ADC采集。 1.项目中的步进电机丝滑、定位精准、速度可调; 2.项目中的ELD驱动电路调光无闪烁、PWM调光; 3.项目中的DMX512讯通稳定、距离远; 4.项目中的所有文件可售原理图、PCB、源代码。
2024-05-28 13:35:04 10.15MB 步进电机 adc
1
免费下载,请自取,文件用txt打开! 实验目的 帮助学生掌握快速加法器中先行进位的原理,能利用相关知识设计4位先行进位电路,并利用设计的4位先行进位电路构造4位快速加法器,能分析对应电路的时间延迟。 需要注意的是不同教材上传递函数P略有差异,部分教材传递函数P是逻辑或关系,本实验采用的是异或逻辑。 实验内容 在 Logisim 中打开 alu.circ 文件,按照图中定义的输入输出引脚,在对应子电路中实现可级联的4位先行进位电路。其中 Gi,Pi 为进位生成函数和传递函数,Cin 为进位输入,C1~C4 为进位输出,G,P 为成组进位生成函数和成组进位传递函数。 电路测试 完成实验后,利用文本编辑工具打开 alu.circ 文件,将所有文字信息复制粘贴到 Educoder 平台的 alu.circ 文件中,再点击评测按钮即可进行本关测试。平台会对你设计的电路进行自动测试,为方便测试,请勿修改子电路封装,注意PGinput应该是GPinput。。 具体就是这样,加油加油加油加油,点个赞吧,让我白嫖个赞,谢谢谢谢谢谢
2024-05-23 12:08:43 630KB html
1
STM32获取DHT22温湿度显示在OLED屏幕,可显示正负浮点四位温度数值。
2024-04-01 15:43:49 3.46MB DHT22 DHT11 STM32
1
STM32CUBE HAL库 CH455驱动四位数码管 内含.c/h文件,ch455数码管采用IIC引脚驱动,SDA,SCL引脚自定义,只需要在CUBE进行引脚定义时,标签改为TUF_SDA,TUF_SCL,即可,同时修改.h文件中注释两线接口处要求修改的引脚。然后在keil的mian.c中加入开启键盘显示函数 TUF_DPY_Write_Cmd( CH455_SYSON ); ,然后调用显示函数 void TUF_DPY_SHOW_NUM(float num)即可。
2024-01-28 14:07:20 2KB stm32 arm 嵌入式硬件
1
电子设计电子竞赛毕业设计产品开发_0388、用AT89C51制作四位数字转速测量计.rar
2024-01-11 22:59:26 135KB
1
姚桥煤矿7243(下)工作面回采到距离停采线20 m时,由于上分层一块三角煤没有回采,随着下分层工作面的回采,上分层2 m多厚的三角煤冒落遗留在采空区;回撤工作面顶板上部有3条长时间失修的上分层巷道,堆积着大量被氧化的碎煤;回撤工作面还存在着3条断层的顶板煤层破碎带。又由于该煤层易自燃发火,煤尘具有强爆性。工作面回采距停采线20 m时出现了CO气体浓度升高现象。为保证人身安全提出了"四位一体"的综合防灭火措施,其中均压防灭火技术是最适合该回撤工作面的有效技术之一。
2023-12-09 10:40:27 713KB 均压防灭火 煤层自燃
1
4位16进制密码锁,支持存储密码、开锁计时等功能。在不使用的时候还可以当门铃使用。数电大作业,相信很多同学都有类似的大作业,当初我没找到很好的资源,今天把我自己做的放出来,抛砖引玉。
2023-04-15 16:17:34 881KB 数电设计 密码锁
1
四位数码管原理图库
2023-04-07 00:07:41 2KB 四位数码管原理图库
1
本资源利用stm32f103c8t6与四位数码管,搭建一个简单的adc采集小实验,适合新手学习使用。
2023-04-06 23:56:30 2.15MB stm32学习
1
基于basys3的四位全加器的实现工程,利用板子上的开关和LED灯来实现二进制四位全加器。
2023-03-15 09:40:19 636KB Vivado basys3
1