此为EDA可控脉冲发生器实现程序,经过编译可通过实验板展现出出可控脉冲的效果
2022-05-15 10:52:48 2KB EDA
1
16个VHDL 编程实例源码 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可控脉冲发生器pluse 十一、正负脉宽数控调制信号发生器pluse width 十二、序列检测器string 十三、出租车计费器spend 十四、数字秒表selclk 十五、抢答器 first
eda设计,可控脉冲发生器设计,基于VHDL可控脉冲发生器的设计
2019-12-21 21:27:21 92KB 课程设计
1
eda中课件关于可控脉冲发生器的设计
2019-12-21 20:37:41 3.28MB EDA
1
设计一个可控的脉冲发生器,要求输出的脉冲波的周期和占空比都可变。具体的实验过程中,然后再用按键模块的S1 和S2 来控制脉冲波的周期,每按下S1,N 会在慢速时钟作用下不断地递增1,按下S2,N 会在慢速时钟作用下不断地递减1;用S3 和S4 来控制脉冲波的占空比,每按下S3,M 会在慢速时钟作用下不断地递增1,每按下S4,M 会在慢速时钟作用下不断地递减1,S8 用作复位信号,当按下S8 时,复位FPGA内部的脉冲发生器模块。脉冲波的输出直接输出到实验箱观测模块的探针,以便用示波器观察输出波形的改变
2019-12-21 19:48:26 5.3MB fpga 可控脉冲 Verilog
1