复旦微电子,抗辐照加固技术,FPGA系列(兼容ZYNQ),CPU/MCU系列,RFID和智能卡系列,北斗导航芯片系列等选型介绍。也许你可能暂时用不到这些芯片,但时当你担心国外的芯片可能会断货时,这个目录表可能会有帮助。
2024-06-29 14:31:53 7.43MB fpga zynq
实验一 运算器组成实验 1.算术逻辑运算实验 2.带进位算术运算实验 3.移位运算实验 实验二 存储器实验 1.FPGA中ROM配置与读出实验 2.LPM_RAM_DP双端口RAM实验 3.LPM_FIFO存储器实验 4.FPGA与外部RAM接口实验 5.FPGA与外部EEPROM接口实验 实验三 微控制器实验 1.时序电路实验 2.程序计数器PC和地址寄存器AR 3.微控制器组成实验 实验四 总线控制实验 实验五 基本模型机设计与实现 实验六 带移位运算的模型机的设计与实现 实验七 复杂模型机的设计与实现 实验八 8051通用单片机IP核应用实验 实验九 用嵌入式逻辑分析仪实时测试FPGA中CPU或单片机 VHDL硬件描述语言/MaxplusII教学参考推荐
2024-06-29 11:28:03 353KB
1
众多开源的飞控,CC3D飞控是比较适合作为靠谱的入门四轴的选择。STM32F103主控,板子上的元器件比较少,自己diy一个飞控是花费比较少的,而且最关键的是这个飞控的电路图、PCB,源代码,Gerbers文件都是开源分享的。 电路城语:此资料为卖家免费分享,不提供技术支持,请大家使用前验证资料的正确性!如涉及版权问题,请联系管理员删除! 附件包含以下资料
2024-06-28 21:51:01 5.97MB 电路方案
1
ISA(Industrial Standard Architecture)总线,也称为PC/104总线,是早期IBM个人电脑中的一个重要组成部分,尤其在工业控制系统和嵌入式系统中广泛使用。它始于1981年的IBM PC,最初是8位总线,后来发展为16位。ISA总线在IBM PC-AT中首次实现16位结构,但因为IBM并未提供严格的时序规范,导致了兼容性问题。因此,随着时间的发展,尽管出现了如EISA(Extended Industry Standard Architecture)这样的扩展标准,但至今并没有一个统一的ISA总线规范。 ISA总线的结构形式分为8位卡和16位卡两种,8位卡和16位卡在计算机内部的布局有所不同,它们通过特定的连接器与主板相连,提供地址、数据和控制信号。 ISA文献主要包含两个规范:EISA Specification, Version 3.12,定义了ISA总线规范以及32位扩展;另一篇是IEEE Draft Standard P996,描述了标准PC类系统的机械和电子规范。此外,还有如ISA & EISA Theory and Operation 和 ISA System Architecture 这样的书籍,为开发者提供了详细的理论和操作指南。 ISA总线信号包括系统地址(SA19-SA0)、未锁存地址(LA23-LA17)等,用于确定内存和I/O设备的地址。地址信号在BALE(Bus Address Latch Enable)为高时有效,并由BALE的下降沿锁定。AEN(Address Enable)信号在DMA传输时起到重要作用,指示地址线是否有效。 PC/104结构形式与ISA板类似,但尺寸更小,且增加了A32/B32; C0/D0; C19/D19引脚,这些引脚在PC/104总线中全部接地。PC/104总线兼容ISA信号定义,但提供了更高的密度和更紧凑的接口,更适合嵌入式系统。 在FPGA开发中,理解ISA总线规范和信号时序至关重要,因为它允许开发者设计出能够与传统ISA接口兼容的硬件模块。通过FPGA,可以实现ISA总线的模拟,从而创建ISA扩展板或Bus Master,实现对总线的控制和数据传输。这在需要与旧有系统接口或者需要在现有ISA基础上进行扩展的项目中非常有用。 总的来说,ISA总线是一种历史悠久的接口标准,虽然现在已经逐渐被PCI、PCI-X、PCI Express等更现代的标准所取代,但在维护和升级旧系统,以及特定领域的嵌入式应用中,对ISA的理解和应用仍然不可或缺。开发者需要掌握ISA的信号定义、时序规则以及连接器的使用,以便在设计中确保与ISA总线的正确交互。
2024-06-28 18:27:03 1.01MB fpga开发
1
01单片机智能家居控制系统(程序源码+原理图+PCB+仿真+论文)
2024-06-28 18:06:20 24.31MB 毕业设计
1
FPGA 开发 - 状态机实验与计数器实验 本文将对 FPGA 开发中的状态机实验和计数器实验进行详细讲解,涵盖实验的设计、实现和仿真等方面。 一、状态机实验 状态机是数字电路设计中的一种重要组件,用于描述系统的状态变化。状态机实验的目标是学习状态机的 VHDL 语言描述方式,以及状态机的单线程和多线程描述方法。 实验步骤: 1. 建立工程:新建一个 lab7 工程,用于实验状态机的设计和实现。 2. 定义输入输出口:定义输入输出口,包括复位有效信号 RESET、高电平信号等。 3. 编写 VHDL 代码:编写 VHDL 代码,定义状态机的状态和转换关系。例如,定义枚举类型 CNTRL_STATE,用于描述状态机的状态。 状态机的 VHDL 语言描述方式: 在 VHDL 语言中,状态机可以使用 Process 语句描述。Process 语句可以用来描述状态机的状态转换关系。例如: ```vhdl Process (CLK, RESET) Begin If RESET = '1' Then CURR_STATE <= S0_INIT; ELSIF CLK'Event AND CLK = '1' Then CASE CURR_STATE IS When S0_INIT => CURR_STATE <= S1_FETCH; When S1_FETCH => CURR_STATE <= S2_ALU; ... End CASE; End IF; End Process; ``` 4. 验证功能的正确性:新建 Test Bench,用于验证状态机的正确性。Test Bench 中可以对状态机进行仿真,查看状态机的状态转换关系。 二、计数器实验 计数器实验的目标是将之前实现的计数器子模块合并起来,完成计数器的顶层模块 SIMPLE_CALC。 实验步骤: 1. 新建工程:新建一个 lab8 工程,用于实验计数器的设计和实现。 2. 导入源文件:通过 Project->Add Copy of Sourse 导入 lab3、lab5、lab6、lab7 中完成的内容。 3. 修改 MEM 模块:修改 MEM 模块,用于存储计数器的值。 4. 编写顶层模块:编写 VHDL 代码,定义顶层模块 SIMPLE_CALC。 5. 仿真:新建 Test Bench,用于验证计数器的正确性。 计数器的 VHDL 语言描述方式: 在 VHDL 语言中,计数器可以使用计数器子模块来实现。例如: ```vhdl Entity SIMPLE_CALC IS Port (CLK, RESET : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(3 downto 0)); End Entity; Architecture Behavioral OF SIMPLE_CALC IS Signal COUNT_REG : STD_LOGIC_VECTOR(3 downto 0); Begin Process (CLK, RESET) Begin If RESET = '1' Then COUNT_REG <= (Others => '0'); ELSIF CLK'Event AND CLK = '1' Then COUNT_REG <= COUNT_REG + 1; End IF; End Process; COUNT <= COUNT_REG; End Behavioral; ``` 资源利用情况: 在 FPGA 开发中,资源利用情况是非常重要的。通过对状态机和计数器的实验,可以了解 FPGA 的资源利用情况,包括最高工作频率、资源占用率等。 在实验中,我们可以使用 Vivado 等开发工具来进行资源分析,了解 FPGA 的资源利用情况。 状态机实验和计数器实验是 FPGA 开发中的重要组件,可以帮助我们学习状态机的 VHDL 语言描述方式,以及状态机的单线程和多线程描述方法。此外,还可以了解 FPGA 的资源利用情况,提高 FPGA 开发的效率和质量。
2024-06-26 20:26:54 965KB fpga开发
1
基于FPGA的verilog的电子密码锁设计.rar 基于FPGA的verilog的电子密码锁设计.rar 基于FPGA的verilog的电子密码锁设计.rar
2024-06-22 21:47:38 1.08MB FPGA
1
FIR滤波器在数字信号处理(DSP)领域扮演着至关重要的角色,特别是在FPGA(Field-Programmable Gate Array)实现中。FPGA因其可编程性和灵活性,常被用于高性能、实时的信号处理任务,比如FIR滤波。FIR滤波器是一种全零点系统,意味着它没有极点,因此系统始终稳定。其特性之一是线性相位,这意味着在指定频率范围内,信号相位不会失真,这对于保持信号质量非常重要。 在无线通信中,FIR滤波器经常用于Downsample/Upconversion(DDC/DUC)模块,以防止频率混叠。例如,半带滤波器通常由FIR实现,用于抽取或插入操作。FIR滤波器的处理过程可以用数学公式表示,即输入信号x(n)乘以滤波系数h(n),然后通过累加器求和,形成输出信号y(n)。滤波器的阶数N由滤波器的抽头数决定,N-1即为滤波器阶数。 在FPGA中实现FIR滤波器,一般采用直接型结构,也称为横向结构,由延迟单元、乘法器和累加器组成。这种结构直观且易于理解,但可能需要较多的硬件资源。 设计FIR滤波器时,通常使用像MATLAB这样的软件工具,如FDATool。在FDATool中,我们可以设定滤波器的类型(如低通、高通、带通或带阻),设计方法(如窗函数、等波纹或最小二乘法),滤波器阶数以及频率响应参数。对于实际应用,等波纹设计法因其在通带和阻带的波纹控制上有优势而常见。 滤波器阶数的设置会影响性能和资源消耗。指定阶数允许工程师精确控制资源,而最小阶数则让工具自动确定满足性能要求的最小阶数。频率响应参数包括采样频率、通带频率和阻带频率,它们共同决定了滤波器的频率特性。 完成设计后,FDATool会生成滤波系数,这些系数可以导出并用于FPGA的硬件实现。例如,使用Xilinx的System Generator工具,可以创建一个验证模型,连接MATLAB Simulink和FPGA模块,以测试和仿真FIR滤波器的功能。 在FPGA中,FIR滤波器的结构可以根据数据速率需求分为串行、半并行和全并行。全并行结构在处理高速数据时更常见,但需要更多的硬件资源。直接型全并行FIR滤波器如前所述,是数据并行处理的一种方式。 总之,FIR滤波器在FPGA中的实现涉及多个设计步骤,包括滤波器类型的选择、参数配置、系数生成以及硬件结构的设计。FPGA的灵活性使得它可以适应各种FIR滤波器设计需求,同时,高效的FIR滤波器设计对于确保数字信号处理系统的性能和效率至关重要。
2024-06-21 18:28:24 1.62MB FPGA DSP
1
时序图绘制 Timingdesigner_92版本,内部附有破解文件。
2024-06-21 16:48:46 34.68MB fpga verilog
1
STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L298N原理图PCB文件STM32F103VET6+LD3320+SYN6288+DHT11+电源+L29
2024-06-21 09:34:20 2.58MB stm32
1