基于logisim库中加法器实现的32位加减法器
2023-10-15 20:02:33 9KB logisim
1
VERILOG实现的 超前进位加减法器 速度较快
2022-11-10 09:37:22 176KB VERILOG 超前进位 加法器 减法器
1
华中科技大学计算机组成原理实验报告(完整)+代码---自己写的
输入两个长整数。 利用双向循环链表存储长整数,每个结点存储四位数。 计算结果存储于双向循环链表。 输出运算式子及结果。
2022-05-26 23:19:26 7KB 双向链表 任意长度 加减法
1
运算器实验 8位可控加减法器 sub=0时表示加法,否则减法 我们可以用8个一位全加器串行进位实现8位加法 如果要做减法就加上减数的补码,这里的补码可以按位取反(即异或1),再最低位加1(即最低位给一个进位信号)
2022-01-07 09:39:57 97KB 运算器 8位可控加减法器
1
EDA 拨码开关4位加减法器 Quarter软件
2021-07-20 09:06:11 13KB EDA Quarter 加减法器
用multisim实现8位原码加减法器 1、 设计一个8位运算器电路,该电路可实现Y=±A±B,即可实现±A±B,也可实现B±A。其输入数据A、B为原码,其中高1位为符号位,低7位为数据位,运算结果Y需要转换为原码表示。 2、 具有进位信号输入,输出能力,溢出判断能力。 3、 通过功能选择控制信号M选择运算功能,M=0时,进行加法运算,M=1,进行减法运算; 4、 用数码管显示输入和输出运算结果。用发光二极管显示溢出判断结果,红灯表示正溢出,黄灯表示负溢出,绿灯表示未溢出正数,蓝灯表示未溢出负数。
2021-07-02 09:02:20 1.06MB 电路图 仿真 8位原码加减法器 multisim
1
数字电路十进制加减法器课程设计 74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法)
2021-06-17 08:21:46 689KB 数字电路
1
用Verilog编写的32位加减法器,有nclaunch 仿真功能图,有design_vision 的门级仿真结果,代码提供了两种基础加法器架构:逐位进位加法器和超前进位加法器,值得学习。
2021-06-15 10:52:48 1.21MB Verilog 32位 加减法器
1
东北大学秦皇岛分校的某课程设计,多年不变,内含multisim的模拟仿真图。需要multisim14才能打开。文件直接打开就可以仿真,都是用的芯片,方便连接。。。。。。。。。。。。。。。。。。。。。
2021-06-12 23:32:22 324KB 电学
1