相当详细的教程,附有完整源程序,仿真结果。
2021-05-03 16:06:37 412KB 分频,小数分频
1
任意分频的verilog 语言实现(占空比50%) 1. 偶数倍(2N)分频 2. 奇数倍(2N+1)分频 3. N-0.5 倍分频 4. 任意整数带小数分频
2012-12-11 00:00:00 82KB 任意分频 小数分频 整数分频 verilog
1