课题目标:按行驶里程收费,起步价为6.00元,并在车行3公里后再按2元/公里计算车费; 实现模拟功能:能模拟汽车启动、停止; 主要内容:利用FPGA来实现出租车计费器,使用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。同时由于FPGA的功能完全取决于VHDL语言编写的程序,不拘泥于某种芯片的特殊指令,更加提高了产品的更新换代能力。出租车计费器系统是VHDL语言的实际应用,利用VHDL语言设计出来的出租车计费器系统将实现计程模块、计时模块以及动态扫描模块等设计方法与技巧。计程模块将用计数器来完成,计数器对脉冲数计数,然后提供给程序数据。通过不同的信号,然后用比较器可以让我们确定出租车是在车行计程还是车停计时。再将数据传输到计费模块,通过多种条件判定,最后确定输出值,然后相加确定最后的费用,并显示出来。
2024-05-27 10:55:01 12.69MB fpga开发 毕业设计
1
(1)里程,即汽车行驶里程,用四位数字显示,显示方式为“XXX.X”,单位为铷,精确到0. km。   (2)单价,即里程单价,用三位数字显示,显示方式为“X.xx”,单价为元/km,根据每天不同的时间段有两种情况:当时间段为06:00~23:00时单价为1.40元/km,其他时间段内单价为1.80元/km。   (3)等候时间,用四位数字显示分钟和秒,显示方式为“XX∶xx”,等候的定义是:当汽车行驶速度小于或等于12 km/h时为“等候”。在等候时间大于1小时的情况下,可以不显示等候时间,但必须对等候时间进行统计计算。   (4)等候单价,等候单价有两种情况:在等候时问小于1小时的情
1
1.实现计费功能,计费标准按照行驶里程计,起步价为7元,车行3公里后按2元/公里,计费器达到20元时间每公里加收50%车费,车停止不计费! 2.可设置车速,车速显示。 3.vi已加密,购买请注意!
2022-12-24 09:22:28 343KB labview 出租车 计费 计价
1
出租车计费器是出租车上必不可少的一种仪表,随着电子技术,特别是嵌入式应用技术的飞速发展,智能芯片越来越广的应用到了出租车计费器上。这使得出租车计费器能够精准的计算出行车里程及对应的价格,使乘客能够更直观明了的知道自己的乘车价格,而司机师傅也不用再靠人工计算来得出乘客的费用,避免了很多麻烦。本文以单片机STC89C51 为核心设计一款出租车计费器, 使能够实现里程及对应价格的显示,由于采用芯片的自动定时计数,所以能够准确的计算出总的行车里程并能转换成对应的价格来,这样能够避免出租车司机作弊的可能性,做到公平公正。   1 出租车计费器的设计要求与设计方案   1.1 出租车计费器设计要求
1
利用FPGA芯片,程序采用Verilog HDL语言编写,初步实现出租车计费功能。功能可靠,附带Quartus2 7.0程序与仿真图
2022-12-03 11:29:57 6.99MB FPGA 出租车计费系统
1
实验报告和代码中均有标注各代码用途,本代码设计思路为化除为乘,原版原创
2022-09-01 17:01:59 399KB 代码
1
基于FPGA出租车计费器设计报告(verilog).doc
2022-06-21 17:01:40 88KB 出租车计费器
1
程序的问题:在2公里,计费会突然跳变,多加0.6元。 1)模拟出租车的运动和停止。直流电机用来模拟出租车的车轮子,使用RW3控制电机转速,使其从停止到高速旋转之间变化。每转动一圈认为是行走1米,每旋转1000圈,认为车子前进1公里。因此,需要检测电机的转动情况,每转一周,计米计数器增加1。 2)计费标准。2公里以内起步价5元,超出部分2元/公里,计费以0.1公里为步进。累计等待时间计费标准为1元/2分钟,不足2分钟的部分不收费。使用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从头开始工作和计费。 3)显示方式。当出租车行进时,数码管显示里程数和总计费;当出租车等待时,数码管显示累计等待时间和总计费。总计费以元为单位,使用3位数码管显示,保留1位小数(比如xy.z)、里程数和等待时间均至少保留1位小数,使用4位数码管显示。中间一个数码管显示“-”隔开两组数据。
2022-06-09 09:55:57 5.62MB VHDL 出租车计费器设计
1
介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧。
2022-06-01 22:35:00 81KB EDA CPLD/FPGA 出租车计费器
1
基于VHDL的出租车计费器设计 论文 完整版
2022-05-19 21:53:06 4.64MB 基于VHDL的出租车计费器设计
1