2. QuartusII的使用 在本次实验中,学会QuartusII软件的使用,然后利用此系统完成: 〈1〉 一位全加器设计 〈2〉 并行八位寄存器设计 组成原理实验八位二进制加法器
2021-11-29 22:29:32 813B 组成原理 八位二进制加法器
1
初学者,文件过程:先设计一位的加法计数,再一个个进位达成八位二进制加法,若要改成十进制的只需要修改加法部分语言将二进制改成十进制即可
2021-11-05 23:10:24 89KB verilog
1