基于VHDL的任意整数分频器的设计,梁颖,,本文对分频原理进行了详细分析,以简单的2、3分频为例对分频原理进行形象的图形分析,方便对原理的理解,并完成了两个不同方案的�
2022-04-09 10:13:32 211KB VHDL
1
①50%占空比偶数分频 ②50%占空比2的次方分频 ③50%占空比奇偶任意分频 ④单脉冲奇偶任意分频 ⑤四个分频代码的测试文件testbench
2021-12-22 17:09:12 5KB verilog fpga 硬件
1
vhdl 实现奇偶数分频,即任意分频。文件为用quartus ii 10.0 建立工程,使用modelsim仿真
1
一个简单的时钟分频器 VHDL语言写的
2021-11-25 14:57:28 639B 分频器
1
学习期间写的,参考了书上的例程 该代码实现了1~255整数分频,不管偶数奇数都可实现50%占空比
2021-11-15 21:42:14 282KB 分频器 任意整数 占空比50%
1
整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出整数转化为二进制数输出
2021-10-17 18:15:40 320B 二进制转化
1
两种方法
2021-08-26 09:05:31 210B PTA习题
1
实现任意整数分频的VHDL代码实现任意整数分频的VHDL代码实现任意整数分频的VHDL代码
2021-05-06 14:57:26 830B 实现任意整数分频的VHDL代码
1
相当详细的教程,附有完整源程序,仿真结果。
2021-05-03 16:06:37 412KB 分频,小数分频
1
非常经典的一款分频程序,绝对实用 功能:对输入时钟clock进行F_DIV倍分频后输出clk_out。 其中F_DIV为分频系数,分频系数范围为1~2^n (n=F_DIV_WIDTH) 若要改变分频系数,改变参数F_DIV或F_DIV_WIDTH到相应范围即可。 若分频系数为偶数,则输出时钟占空比为50%; 若分频系数为奇数,则输出时钟占空比取决于输入时钟占空比和分 频系数(当输入为50%时,输出也是50%)。
2021-04-16 15:29:42 2KB EDA 任意整数 分频器
1