学校的课程设计要求,提供资源仅供参考。本课设基于FPGA和verilog-HDL语言进行设计。首发原创!
2022-05-10 10:21:33 39KB VHDL 交通灯控制器
1
基于cyclone3 FPGA设计的交通灯控制器课设文档+quartus9.0逻辑工程源码,可以做为你的学习设计参考。 交通灯控制器的设计 2. 设计内容与要求: ① 设计一个十字路口交通信号灯的控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,且将运行时间用数码管显示出来。 ②绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为Tx秒,支干道每次通行时间为Ty秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由X转换为Y时,X在通行时间只剩下5秒钟时,绿灯闪烁显示,Y仍为红灯。 ③ 可以对X,Y车道上交通灯运行的时间进行重新设置, 20≦Tx≦99 ,10≦Ty≦39 ④ 对器件进行在系统编程和实验验证。 ⑤ 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。 module demultiply(clock,rst,clock_out); input clock,rst; output clock_out; reg [24:0]count; reg clock_out; always @(posedge clock or negedge rst) begin if(!rst) begin count<=0; clock_out<=0; end else begin if(count==25'd25000000-1) begin count<=0;