vhdl硬件设计语言 四输入表决器电路 二位二进制乘法器电路 一位二进制全减器等源代码及仿真波形 MAX plus II 仿真波形
1
用移位相加的方法设计一个8位二进制串行乘法器。基于EP4CE1022C8芯片,于Quartus Ⅱ 13.1中实现。包含仿真文件,上板子验证成功。此为西电EDA课设大作业,实验报告见博客,仅供参考。
1
用移位相加的方法设计一个8位二进制串行乘法器。基于EP4CE1022C8芯片,于Quartus Ⅱ 13.1中实现。包含仿真文件,上板子验证成功。此为西电EDA课设大作业,实验报告见博客,仅供参考。
1
数字电路-四位二进制乘法器课程设计报告完整版!!可以直接用的。
2021-06-09 10:45:45 281KB 二进制乘法器 数字电路
1
Based on verilog HDL language 4-bit binary multiplier design, its function is fast and reliable to achieve binary multiplication operation.
2019-12-21 21:31:43 439B verilog 二进制乘法器
1