所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4 时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED 表示,若表决的结果为同意,则LED 被点亮;否则,如果表决的结果为反对,则LED 不会被点亮。同时,数码管上显示通过的票数。
2021-12-30 14:08:29 1KB 七人表决器
1
EDA作业 设计七人表决器 计数器 计数器是用4位加法器和74374设计的,七人表决器一位加法器实现
2021-12-26 15:18:52 377KB 计数器 七人表决器
1
硬件描述语言(vhdl)上机相关实验,包括五个必须完成的实验,包含全部代码和实验波形文件
2021-12-13 22:39:56 397KB 时钟 全加器 序列信号 七人表决器
1
表决器VHDL有详细的说明和设计步骤啊啊啊啊啊啊啊
2021-11-06 12:50:27 38KB Word文档
1
VHDL程序 EDA 七人表决器 七人表决器VHDL程序 EDA
2021-10-20 21:44:31 72KB VHDL EDA 七人表决器
1
利用quartus18.0软件编译仿真的七人表决器,含测试文件,供学习电子设计自动化(eda)的新人参考
2021-06-09 09:35:51 7.25MB eda 七人表决器 quartus
1
七人表决器设计利用vhdl程序编写,理由电路图以及工程图
2021-04-21 10:42:30 905KB 七人表决器
1
这个是在QuartusII 平台上用VerilogHDL语言写的七人表决器工程,用的是文本输入方式。芯片选的是用的Cyclone II:EP2C35F484I8芯片。 其中双击.qpf文件可直接打开此工程;双击.v文件可打开此程序源码;双击.vwf可打开此工程的仿真文件,可以直接仿真结果。
2020-01-03 11:32:46 280KB Verilog HDL Quartus II
1
7人表决器本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板数码管显示同意的人数,否则D1_1熄灭表示一致反对
2019-12-21 21:25:00 488KB EDA实验
1
基于alter公司cycloneII开发平台下的VHDL编程,实现了七人表决的功能,七个按键分别对应七个人,当有人同意时,按键按下,置“1”,当有人不同意时按键挑起,置“0”,同时LED灯会随着对应的按键按下变亮或者熄灭,当同意认输超过3人时第一个LED灯点亮,表示表决通过,同时数码管一直会显示出同意的人数。
2019-12-21 20:54:01 335KB vhdl语言开发
1