使用verilog HDL语言在FPGA上实现了无刷直流电机的模糊PID控制算法,完整详细的代码包含在内
2023-12-20 19:28:24 22.84MB verilog fuzzy BLDC FPGA
1
Verilog代码 74HC系列:00、02、04、08、32、86、148、138、153、85、283、4511、74、112、161、194 编码器、译码器扩展等
2023-12-13 20:00:20 10.45MB verilog
1
// 2023.3 AD7768-4 FPGA输出四通道数据 verilog //输入DCLK,DRDY,DOUT0~3,共6个引脚 //输出data0~data4,4个通道的数据,已转化为毫伏值,根据自己需要进行修改 //输出速率可修改,也与DCLK有关 //已通过验证,可自行仿真,或直接运行 //不提供TB文件,需要可联系作者提供 verilog 正点原子 开拓者 EP4CE10 Quartus
2023-12-07 21:00:54 3KB fpga开发 编程语言
1
XILINX SPARTAN6 FPGA 双通道的12bit ADC ad9226输入测试程序VERILOG逻辑例程源码 ISE14.7工程文件 module ad9226_test( input clk50m, input reset_n, input rx, //uart rx output tx, //uart tx input [11:0] ad1_in, output ad1_clk, input [11:0] ad2_in, output ad2_clk ); parameter SCOPE_DIV =50; //定义chipscoe的分频系数, assign ad1_clk=clk50m; assign ad2_clk=clk50m; wire [11:0] ad_ch1; wire [11:0] ad_ch2; wire [7:0] ch1_sig; w
2023-12-07 20:36:32 2.31MB ad9226
VEEK-SOC-II实验开发系统提供了以 Intel System-on-Chip (SoC) FPGA 建立的强大的硬件设计平台,结合了最新的嵌入式双核 Cortex-A9 和业界领先的可编程逻辑,无缝接合诸如高速 DDR 内存、ADC 功能、以太网络等功能硬件,以满足终极设计的灵活性,使用者可以彻底的利用这个兼具高性能和低功率处理系统的可重构性的强大平台。
2023-12-04 22:51:24 16.55MB FPGA verilo
1
verilog实现占空比50%的3分频 通过上升沿和下降沿分别触发模3 的counter 再通过组合逻辑实现占空比1:1
2023-12-04 21:58:47 664B verilog
1
北京航空航天大学 夏宇闻教授的经典教材!
2023-12-02 00:44:28 11.92MB verilog
1
1.时钟输入采用实验箱的1Hz信号(在电源开关下面),分别测试两片74x161的逻辑功能。由于数码管不能显示A-F,所以用LED灯显示计数器的输出状态。 2.将两片74x161进行级联,实现模256计数器,用LED灯显示计数器的输出状态。 3.用两片74x161分别实现模6和模10计数器,用数码管显示计数器的输出状态。再将两片74x161进行级联,实现模60计数器,用数码管显示计数器的输出状态。 4.拓展题:任选一个设计下列十进制计数器:模24、模28、模29、模30、模31、模100。
2023-11-23 15:24:17 1.5MB verilog fpga 数字逻辑
1
1.3-8译码器的设计和实现。 2.4位并行进位加法器的设计和实现。 3.两输入4位多路选择器的设计和实现。 4.拓展:3输入多数表决器设计和实现。 实验要求如下: 1.采用Verilog语言设计,使用门级方式进行描述。 2.编写仿真测试代码。 3.编写约束文件,使输入、输出信号与开发板的引脚对应。 4.下载到FPGA开发板,拨动输入开关,观察Led灯的显示是否符合真值表。
2023-11-23 15:23:33 1.85MB Verilog FPGA 数字逻辑
1
Verilog设计_时钟分频 时钟分频的设计,实现任意的奇数分频和偶数分频。 分频的本质是引入一个计数器,到特定的时候指示反转,从而达到分频的效果。 通过控制计数器的动作进而控制占空比,但是奇数分频想通过计数器直接分频出占空比50%的时钟是不可能的,必须要通过中间的临时波形,做一些逻辑 “与” “或” 的动作才能得到占空比50%的分频时钟。 方法有很多种,我的代码中统一使用异或,通过参数化控制可以改变分频系数。至于想改变占空比的话,只要根据需要去调整中间时钟和计数器的动作,然后进行相应逻辑运算即可,可以灵活处理。 通过控制参数,可以实现任意比例的分频时钟。
2023-11-23 15:19:17 2KB 编程语言 Verilog 数字设计 时钟分频
1