书 名 传真通信与调制解调器 作 者 朱梅英 出 版 社 人民邮电出版社 学习传真极具参考坐标的参考书
2021-05-17 16:10:06 7.52MB 传真 MODEM 调制 解调
1
基于System View的2ASK调制解调器设计
2021-05-17 10:03:07 1.99MB 基于SystemView的2A
本文详细描述了从设计到时序仿真的整个过程 具有FPGA基础的设计人员,可以据此写入相应的芯片 实现程序的模块化
2021-05-16 19:53:09 671KB MSK 调制与解调 Verilog 设计 仿真
1
2020年IT通讯通信通讯行业网络设备配件:调制解调器领域行业分析报告(市场调查报告).pdf
2021-05-13 17:02:05 2.76MB 行业报告
这是个基于fpga 的QPSK调制解调器设计,非常完整,
2021-05-10 09:50:13 1.81MB FPGA QPSK
1
AD8348正交IQ解调器及其应用相关。详细介绍AD8348正交IQ解调器及其应用
2021-05-09 21:49:22 146KB 正交解调
1
数字信号对载波相位调制称为相移键控(即相位键控)PSK( Phase-Shift Keying )。 数字相位调制(相位键控)是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。 二进制相位键控用同一个载波的两种相位来代表数字信号。 由于PSK系统抗噪声性能优于ASK和FSK,而且频带利用率较高,所以,在中、高速数字通信中被广泛采用。
2021-05-09 11:57:38 187KB FPGA PSK 调制器 解调器
1
SURFboard SB6190是DOCSIS 3.0调制解调器,能够以高达1.4 Gbps的速度下载!
2021-05-06 12:04:29 263.51MB 开源软件
1
architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain = cdata (0) then m<=3; else m m <= 0;
2021-04-13 16:40:01 275KB FPGA
1
传统的旋转变压器解码采用ADI(AD2S12xx)、TI或多摩川提供的集成芯片,成本较高;英飞凌的XMC4000系列、Aurix系列芯片内置了Delta-Sigma 解调器,能够实现旋转变压器的解码控制,在安全较高的领域作为软解码实现ASIL-C以上功能安全等级,在安全要求较低领域,直接使用软解码降低系统成本。 本文阐述了使用Delta-Sigma 解调器获取旋转变压器的原理、方法和在XMC4500上的配置方法等,对旋变解码产品设计具有指导意义。
2021-03-31 15:43:41 1.8MB 旋转变压器 软解码
1