FPGA SPI IP核设计, 基于Cyclone IV EP4CE6E22C8平台, 供参考!
2021-08-25 22:40:00 3.49MB FPGA SPI IP EP4CE6
1
FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity spi_in is port( sck_in:in std_logic; mosi:in std_logic;--收 miso:out std_logic;--发 data_out:out std_logic_vector(7 downto 0) ); end spi_in; architecture spi_behave of spi_in is signal gain_data:std_logic_vector(7 downto 0); signal num:integer range 0 to 9; begin process(sck_in) begin if(sck_in'event and sck_in='1')then if(num=9)then num<=0; else num0 and num<9)then gain_data(9-num)<=mosi; else data_out<=gain_data; end if; end process; end spi_behave;
2021-08-25 14:05:55 1.73MB FIFOfull_adder SPI接口 分頻器 VHDL设计源码
RJMU401芯片基于Linux的SPI驱动代码,相关开发参考博客:https://blog.csdn.net/zsj2016o/article/details/119907367
2021-08-25 14:02:40 195KB rjmu401 硬件加密
1
基于STM32F103驱动RJMU401例程,包括流程测试,相关开发记录参考博客:https://blog.csdn.net/zsj2016o/article/details/119907367
2021-08-25 14:02:38 3.77MB stm32 rjmu401 spi 硬件加密
1
spi的flash读写程序,各种操作方式的实现
2021-08-25 10:51:02 14KB falsh spi
1
本教程是基于STM32 + SPI NorFlash 完成的,实际使用过程中与芯片没什么紧密关系,均可使用,也不局限与SPI NorFlash 。实际上无论什么接口、什么类型的FLASH,除移植过程中对接口操作进行修改其余均可参照此教程进行移植。这里仅仅使用 SPI NorFlash进行举例,其余均可举一反三进行对照移植。
2021-08-24 20:37:08 453KB 均衡磨损 LevelX
1
1.adxl345的SPI通信硬件设计和驱动代码 2.ADXL345的驱动函数 3.STM32驱动代码及接口设计 来自《追风星空》新浪博客
2021-08-24 09:59:50 128KB adxl345的SPI ,ADXL345 ,STM32驱动
1
stm32通过spi协议使用NRF24L01通讯模块
2021-08-24 09:17:00 6.63MB stm32
1
CS5460A的SPI通讯程序,已经通过调试应用于生产中
2021-08-23 19:15:31 1KB SPI
1
由于项目需要,STM32F303跟STM32F405之间要用到DMA+SPI口来估大量数据传输,实现两边的数据收发。
2021-08-23 15:57:51 44KB 调试 SPI DMA 经验心得
1