Clifford_E._Cummings关于跨时钟域处理的经典论文,值得初学者学习
2021-10-27 21:05:24 3.35MB 跨时钟域处理 Verilog
1
SoC验证方法学
2021-10-20 22:05:14 800KB SoC Verification
1
SoC设计验证
2021-10-20 22:05:13 886KB SoC Verification
1
Formal Verification: Too Good to Miss
2021-10-20 22:05:12 1.41MB Formal verification
1
Springer上面的电子书籍,做IC验证时候用的,英文,我想做IC的看这种资料,应该没有啥问题吧?
2021-10-19 15:36:23 8.99MB verification coverage systemverilog
1
Verification Methodology Manual for Low Power (VMM-LP) 2009
2021-10-18 22:05:50 3.19MB VMM 低功耗
1
cocotb是基于协程的协同仿真库,用于用Python编写VHDL和Verilog测试平台。 阅读 参与其中: (需要GitHub帐户) 安装 当前的cocotb稳定版本要求: Python 3.5+ C ++ 11编译器 HDL模拟器(例如 , , 或) 安装这些依赖项后,可以使用pip安装最新的稳定版本的cocotb。 pip install cocotb 有关安装的更多详细信息,包括前提条件,请参阅。 有关如何安装cocotb的开发版本的详细信息,请参阅。 !!! 总线和测试平台组件!!! 可重用的总线接口和测试平台组件最近已移至软件包。 您可以通过添加bus Extra安装来轻松地与cocotb同时安装它们: pip install cocotb[bus] 。 用法 作为对cocotb的第一个简单介绍,下面的示例“测试”触发器。 首先,我们需要可以测试的硬件设
2021-10-15 23:21:00 804KB python test vhdl verification
1
低功耗集成电路的设计与验证
2021-10-14 11:04:59 3.76MB Lowpower
1
vera:用于验证,分析和转换C ++源代码的可编程工具
2021-10-12 12:42:18 136KB 系统开源
1
EasyVVUQ EasyVVUQ的目的是促进各种模拟的验证,确认和不确定性量化(VVUQ)。 虽然在简单情况下非常方便,但是EasyVVUQ特别适用于以下情况:模拟的计算量很大,需要异构的计算资源,采样空间很大或簿记非常复杂。 它使用高效的数据库协调执行,具有容错能力,并且可以保存所有进度。 以下是EasyVVUQ可以回答的有关您的代码的一些问题示例: 考虑到输入参数的不确定性,输出的分布是什么? 每个输入参数占输出方差的百分比是多少? 还可以让您构造比完整的仿真便宜评估的代理模型。 基本概念在和介绍。 有关将仿真与EasyVVUQ接口的介绍,请参阅笔记本。 可用的分析和采样方法: 多项式混沌展开 随机搭配 蒙特卡罗灵敏度分析 马尔可夫链蒙特卡洛 EasyVVUQ还支持使用以下方法构建代理模型: 多项式混沌展开 随机搭配 高斯过程 支持的计算资源: 传统集群 最简单的
1