FFT的VHDL程序,quartus开发,有仿真数据和波形,下载到电路板里实测通过
2019-12-21 20:09:38 237KB FFT VHDL
1
实现走马灯的VHDL代码。用两种方法实现,分为顶层、底层
2019-12-21 19:43:44 123KB quartus II ,VHDL ,走马灯
1
交织器的VHDL编写的代码,有人需要的看一下
2019-12-21 19:30:31 3KB 交织器 VHDL
1
用状态机来控制LED的亮灭,开始三个灯全亮,按键8秒后灭一灯,按键5秒后在灭一灯
2019-12-21 19:28:22 1016KB eda
1
基于FPGA的数字密码锁设计(VHDl代码全_11个模块均调试通过
2019-12-21 19:26:53 1.32MB fpga 数字密码锁
1
50MHz逐步分频器,包含50MHz-1MHz-1KHz-1Hz的分频过程。
2019-12-21 19:26:46 15KB 50MHz分频器
1
8051IP核Verilog和VHDL代码全集.DW8051(Verilog版).反向解剖是学习IC设计的捷径,希望对大家有帮助!
2019-12-21 18:51:07 66KB 8051IP核 Verilog VHDL 代码
1