273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Proteus仿真和代码)273-P3口流水灯(51单片机C语言实例Pro
2022-06-16 19:04:03 27KB 51单片机 c语言 proteus 软件/插件
STM32F103_WS2812B灯带pwm+dma方式驱动控制程序软件源码 #include "sys.h" #include "delay.h" #include "usart.h" #include "ws2812b.h" #define mode 1 //mode = 1为呼吸灯 mode = 2为流水灯 int main(void) { // u8 r,g,b; delay_init(); //延时函数初始化 NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2); //设置NVIC中断分组2:2位抢占优先级,2位响应优先级 uart_init(9600); Timer2_init(); // WS2812_Clear(); while(1) { Led_Mode(mode); } }
99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteus仿真和代码)99-24c02存储花样流水灯(51单片机C语言实例Proteu
2022-06-15 11:05:46 24KB 99-24c02存储花样流水灯(
飞思卡尔HCS12X系列的流水灯的工程,我在codewarrior 5.1上建的工程,运行在芯片MC9S12XDP512的开发板SK-S12XDP512-A上面。
2022-06-14 10:28:27 397KB Freescale HCS12X MC9S12XDP512
1
《单片机原理及应用》项目二--MCS–51单片机入门——流水灯模拟系统设计.pptx
2022-06-13 19:01:07 8.42MB 计算机 互联网 文档 资源
ARM9控制LED流水灯程序设计
2022-06-13 18:04:55 1.36MB 文档资料
基于vhdl的流水灯设计,小实验,可以当例子用!
2022-06-12 15:36:06 5KB vhdl 流水灯
1
最新单片机仿真 从左到右的流水灯.rar
2022-06-10 11:01:31 43KB 互联网
微机原理流水灯代码
2022-06-09 01:31:30 284B 流水灯 代码 微机原理
1
掌握通用I/O使用。开发板上发光二极管与单片机I/O口的基本接口电路如图7-1所示。由单片机的P5口驱动LED。当端口为低电平时,点亮LED,当端口为高电平时LED熄灭。依次实现以下显示模式: (1)全亮并闪烁4次。 (2)点亮一个发光管,右循环。 (3)点亮一个发光管,左循环。 (4)单数LED与双数LED交替点亮4次。
2022-06-07 18:02:53 17KB 源码软件
1