基于FPGA乒乓球游戏机Verilog设计
2011-04-09 00:00:00 433KB 基于FPGA乒乓球游戏机Verilog设计
1
关于EDA课程设计中 的乒乓球游戏机的设计
2009-05-06 00:00:00 26KB EDA课程设计
1
乒乓球游戏机是模拟乒乓球比赛的过程和规则,并能自动裁判和记分的模拟装置。两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动,在游戏机的两侧个设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。设置自动记分电路,甲、乙双方各用7段译码管进行记分显示,每计满21分为1局,然后记分清零,重新开始新一局比赛。
2008-07-27 00:00:00 277KB 计算机组成原理课程设计
1