基于FPGA的UART接收数据至VGA显示系统设计-实验报告-有需要的自取
2021-03-25 16:59:34 1.98MB FPGA UART VGA 实验报告
1
USB转UART串口板PL2303设计A;LTIUM硬件原理图PCB+BOM{+AD集成封装库文件,2层板设计,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已制样板测试验证,可作为你产品设计的参考。集成封器件型号列表: Library Component Count : 7 Name Description ---------------------------------------------------------------------------------------------------- Cap Capacitor Header 4 Header, 4-Pin Header 5 Header, 5-Pin LED0 Typical INFRARED GaAs LED PL2303 USB转串口芯片 Res2 Resistor XTAL Crystal Oscillator
基于FT232RL设计的 USB转UART-RS232-RS485ALTIUM设计硬件原理图PCB+AD集成封装库文件。2层板设计,大小为80x50mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已制样板测试验证,可作为你产品设计的参考。集成封器件型号列表: Library Component Count : 19 Name Description ---------------------------------------------------------------------------------------------------- Cap Capacitor Cap Pol2 Polarized Capacitor (Axial) D Connector 9 Receptacle Assembly, 9 Position, Right Angle Diode 1N4148 High Conductance Fast Diode FT232RL Fuse 1 Fuse Header 2 Header, 2-Pin Header 3 Header, 3-Pin Header 3X2A Header, 3-Pin, Dual row Header 4 Header, 4-Pin LED2 Typical RED, GREEN, YELLOW, AMBER GaAs LED LM1117T-5.0 800mA Low-Dropout Linear Regulator MAX485 PRTR5V0U2X Res2 Resistor SP213 USB XTAL Crystal Oscillator 焊盘1
本资源通过按键控制ADC128S52模块采集数据100次,并存储到FIFO中。通过uart_tx模块将FIFO中的数据传输到PC中。本资源包含ADC驱动模块,按键消抖模块,Uart发送模块,综合模块,仿真模块。
2021-03-24 15:51:01 7.25MB VHDL ADC FIFO UART
1
AVR_Uart调试,源码写法借鉴,仿真实例,现成调用封装使用,可运行的仿真电路图和调好的程序,开箱即用。适用于教学案例、毕业设计、电子设计比赛、出书项目实例,实际设计、个人DIY参考。 已调试好,proteus直接可以运行看效果
2021-03-23 22:08:04 46KB AVR_Uart 串口调试 教学案例
可显示中文的串口调试助手,运行稳定,不乱码,是单片机开发的得力助手
2021-03-23 16:56:34 771KB 串口 调试助手 显示中文 uart
1
KEA128 UART BOOTLOADER.pdf
2021-03-23 13:04:29 570KB Kea128 uart bootload
1
海凌科语音模块通讯协议
2021-03-22 16:08:11 519KB 海凌科
1
STM32F407VET6 6个串口的回环测试
2021-03-22 15:03:44 3.85MB uart stm32 init 单片机
1
因为要参见12届蓝桥杯比赛,看了小蜜蜂笔记的视频讲解,我自己完成了这个题目,也借鉴了该UP主的一些优点。总体感觉这个项目较为适宜参加单片机比赛的人练习,能学到很多。 1.系统初始化; 2.检测外设是否能正常工作,检测LED灯,L1-L8依次点亮,在L8-L1依次熄灭;然后检测数码管,依次显示8,然后逐个熄灭。 3.系统上电后显示00-00-00,时-分-秒 4.要求LED1-LED4为远程控制组,LED7和LED8为本地控制组。远程控制通过串口通讯控制,本地控制通过独立按键实现。 5.按键S4控制LED7,按键S5控制LED8,按键松开后有效。 //==================串口UART通信约定================ // 1.工作在8位UART模式,波特率9600bps // 2.上位机通过串口控制下位机的LED1-LED4指示灯,读取单片机系统运行时间 // 3.控制命令为1字节,高4位为命令类型,低4位为执行参数。 // 4.0XA_为控制灯光命令,低4位,每位控制1个LED灯。高电平点亮,如0XA5,点亮LED1和LED3 // 5.0XB0为读取时间命令字,返回3字节的时间数据(时 分 秒,如12时34分56秒,返回0X12 0X34 0X56)
1