设计并调试好一个8位乘法器,并用MAX+plus II实验开发系统进行系统仿真。这里的设计思路是由8位加法器构成的以时序逻辑方式设计的8位乘法器。
2020-12-09 11:24:44 165KB shuhu
1
使用硬件编程语言设计了一个16位加法器 并用matlab模拟输入和输出 并对这次课程设计进行了总结 Matrix calculation is one of the fundamental mathematic calculations commonly used in advanced signal processing algorithms for a wide range of applications, such as satellite navigation systems, complex control systems and etc. In order to implement such advanced signal processing algorithms on an FPGA based embedded system, we need to use VHDL to design a matrix multiplier core for a Xilinx FPGA device.
2020-04-01 03:08:14 7.94MB vhdl matlab
1
通过移位相加的方法,实现两个16位二进制数据的相乘。经过测试,能够得到正确的结果。
2020-04-01 03:07:41 2KB Verilog 乘法器
1
适合新手学习verilog HDL语言。并附有testbench文件,共新手学习使用。
2020-04-01 03:03:11 1KB testbench+verilog HDL 16位乘法器
1
Verilog 4位乘法器设计实现4位二进制数的乘法运算
2019-12-21 22:25:31 655B 乘法器
1
定点补码一位乘法器的设计 计算机组成原理课程设计 可硬件下载
1
不错的乘法器代码library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;
2019-12-21 22:13:20 1KB VHDL16位乘法器
1
Verilog四位乘法器实验报告带有仿真图
2019-12-21 22:11:43 44KB 乘法器
1
仅考虑结果不超过32位的情况:; D1和D2是2个乘数,D3为结果
2019-12-21 21:21:48 413B 汇编16位乘法
1
用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考
2019-12-21 21:05:16 618KB 乘法器,verilog
1