fpga 8位乘法器 verilog HDL 源代码;带有tstbench文件
2019-12-21 19:27:00 4.4MB verilog HDL fpga
1
16位乘法器VerilogHDL源代码,适合于初学者
2019-12-21 19:21:14 7KB 16位 乘法器 Verilog HDL
1
采用Verilog语言设计的移位相加型8位硬件乘法器小论文
2019-12-21 18:57:53 156KB Verilog 乘法器
1
通过控制模块、数据选择模块、加法器模块、移位模块、锁存模块和上层实体实现,有详细注释
2019-12-21 18:52:19 323KB 8位乘法器 模块实现 移位加法器
1