编译器:MPLAB 8.73 内部文件含有: 1.2个例子文件。 2.工程文件。 3.Output文件。 4.PIC12F508中文规格书一份 注意: 1.用编译器重新加载工程文件 2.用编译器重新加载头文件路径
2021-04-24 09:37:07 1.1MB PIC12F508
1
通用定时器1(或通用定时器3)开始后,比较寄存器在执行每个PWM周期过程中可重新写入新的比较值,从而调整控制功率器件的导通和关闭的PWM输出的占空比。由于比较寄存器带有映射寄存器,所以在一个周期内的任何时候都可以将新的比较值写入到比较寄存器。同样,可以随时向周期寄存器写入新的值,从而改变PWM的周期或强制改变PWM的输出方式。   非对称PWM信号产生波形如图所示。为产生非对称的PWM信号,通用定时器要设置为连续递增计数模式,周期寄存器装入所需的PWM载波周期的值,COMCON=寄存器使能比较操作,并将相应的输出引脚设置成PWM输出。如果需要设置死区,可通过软件将所需的死区时间值写入到寄存器
2021-04-20 23:07:15 56KB 非对称PWM信号的产生 其它
1
对称PWM信号关于PWM周期中心对称,相对非对称PWM信号的优势在于,1个周期内在每个PWM周期的开始和结束处有2个无效的区段。当使用正弦调整时,PWM产生的交流电机(如感应电机、直流电机)的电流对称PWM信号比非对称的PWM信号产生的谐波更小。对称PWM信号产生波形如图所示。   图 对称PWM信号产生波形   比较单元与PWM电路产生对称和非对称PWM波形基本相似,唯一不同的是,产生对称波形需要将通用定时器1(或通用定时器3)设置为连续增/减计数模式。每个对称PWM波形产生周期产生2次比较匹配,一次匹配在前半周期的递增计数期间,另一次匹配在后半周期的递减计数期间。新装载的比较值在后
2021-04-20 22:55:52 71KB 对称PWM信号的产生 其它
1
基于单片机的 PWM 信号发生器的设计 设计一个基于单片机的信号发生器,能够产生频率在1Hz~1kHz 之间的可调整PWM 信号,用数码管或LCD 显示信号频率值和占空比
2021-04-11 14:49:07 1.82MB 单片机 PWM 信号发生器
1
利用MSP430内部PWM波发生器产生频率为50Hz~1kHz,占空比为10%~90%的PWM波,频率和占空比通过按键S1和S2分别往复设置(频率按50Hz步进,占空比按5%步进),并利用MSP430单片机的捕获/比较器测量该PWM波的频率和占空比,且显示于OLED上。 扩展要求:(1)在OLED上显示出你所产生的PWM波图形(2)产生两路刚好反相的PWM波,显示于OLED上。
2021-03-18 13:26:56 3.11MB msp430f5529
1
本人蓝桥杯嵌入式竞赛国赛一等奖,这是之前蓝桥杯竞赛练习的程序。stm32的定时器功能十分强大,这是stm32定时器高级用法之一:pwminput模式。在学习过程中我们经常使用输入捕获模式来捕获pwm信号,这种方法适合捕获低频和占空比区中的波形,在捕获相对高频和占空比1%或者说99%这些极端情况下的话会造成很大误差。其实stm32有一个很好用模式用来捕获pwm信号,这个模式就是PWMInput模式,我们平常很少用,但是真的很好用。 这里面是一个keil4的工程,里面有注释,有不懂的问题可以留言提问,乐意解答。
2021-03-15 16:51:33 1.5MB STM32 PWMInput模式 定时器 捕获PWM信号
1
msp430产生频率、占空比可调PWM信号,时钟选择MCLK,可调范围大
2019-12-21 20:29:54 605B pwm
1
PWM波输出的信号发生器 ,可调节占空比。含仿真和程序。
2019-12-21 20:17:36 31KB 信号发生器 PWM
1
FPGA+CortexM3产生带死区的PWM信号
2019-12-21 20:09:34 2.07MB FPGA+CortexM3 PWM
1
51单片机控制输出pwm信号控制舵机程序,简单的控制程序。
2019-12-21 19:53:49 34KB 51单片机 pwm信号 舵机程序
1