STM32F103C8T6 GD32F103C8T6 使用高级定时器 TMI1 捕获PWM 占空比. 实测稳定精确!
2023-12-01 10:20:54 6KB stm32 gd32
1
PWM频率计算小工具,很实用的小工具
2023-11-06 18:33:37 160KB 开发工具
1
beaglebone black怎么使能PWM
2023-11-04 17:50:01 367KB beaglebone
1
该模型采用滞环电流控制方法来控制PWM整流器,在matlab/simulink中实现。电流内环采用三个滞环比较器,电压外环采用PI调节器,控制模型结构简单,性能优良。交流侧输入220V/50Hz三相对称交流电,直流侧输出760V。 波形完美,适合初学者参考学习!! 模型简介: https://electric-boy.blog.csdn.net/article/details/131113025
2023-11-02 22:44:40 32KB PWM整流器 电流滞环控制
脉宽调制(PWM)控制方法用于过程控制领域时,由于通过三角波构造PWM控制器不够准确,且三角波参数设置较为复杂,因此提出了利用S函数描述PWM控制算法,通过M文件编写的S函数完全是算法的再现,且生成的S函数模块如Simulink标准模块.结合Matlab中的Simulink工具箱对PWM控制系统进行建模,并针对一个一阶系统进行仿真.通过比较说明,用S函数实现的PWM控制器有着准确性高、建模简单等优点.
2023-11-01 14:33:56 697KB
1
51单片机pwm控制电机,51单片机PWM控制电机仿真原理图及程序,用PWM控制电机脉冲频率应控制在25HZ~35HZ之间,定时1ms,1个周期30ms,脉冲频率为33HZ。
2023-10-20 18:50:19 65KB pwm
1
使用PIC18F4331控制无刷直流电机,用AD转换来检测控制系统(电流环,电压环,温度检测),通过实验测试。
2023-10-08 10:52:11 11KB 无刷电机 PIC18F AD转换 PWM
1
电赛专题,基于stm32控制的二维电动云台,PWM控制180°,
2023-09-29 14:41:17 10.32MB 二维电动云台 基于stm32控制 电赛
1
工程加密,需要另外建
2023-08-19 11:37:33 3.28MB DMA WS2812
1
接线方式 ENA ------------ B6 IN1 ------------ B9 IN2 ------------ B7 GND ----------- GND (外接电源) VCC ------------ 5至12V (逻辑电源)
2023-07-18 01:26:52 671KB PWM电机调速
1