1)设计可以显示1~60秒的无存储功能的秒表,最小单位为毫秒。 2)通过按键控制秒表清零、暂停、继续,退出等。
2019-12-21 22:12:04 93KB 数字秒表
1
实现秒表的简单功能
2019-12-21 22:06:34 4.94MB C# 小程序
1
1、能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。 2、能利用实验系统上的按键实现“校时”“校分”功能: ⑴按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后回“00”; ⑵按下“SB”键时,计分器迅速递增,并按59分钟循环,计满59分钟后回“00”,但不向“时”进位; ⑶按下“SC”键时,秒清零; ⑷要求按下“SA”、“SB”或“SC”时均不产生数字跳变(SA”、“SB”、“SC”按键是有抖动的,必须对其消除抖动处理)。 3、能利用扬声器做整点报时: ⑴当计时到达59分50秒时开始报时,在59分50秒、52秒、54秒、56秒、58秒鸣叫,鸣叫声频率可定为500Hz; ⑵到达59分60秒时为最后一声整点报时,整点报时频率可定为1KHz。
2019-12-21 21:59:41 259KB VHDL 简易数字秒表的设计
1
数字秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、十进制计数器、6进制计数器、数据选择器和译码器等组成。整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动,计数器的输出全都为BCD码输出,方便显示译码器连接。本设计基于简单易行的原则,秒表显示以0.1s为最小单位,最大量程为9.9s,采用七段数码管作为显示部分,以此来达到基本设计要求.
2019-12-21 21:07:59 36KB 电子秒表 时基电路
1
EDA课程设计完整版---数字秒表(设计报告+仿真文件+硬件实现+仿真截图) 这是本人的课程设计,内容详尽,并包括下载到实验箱生成的文件还有相应截图。
2019-12-21 20:58:20 9.74MB 数字秒表 课程设计
1
1.一个精度为0.1s的秒表系统。 2.有启动按钮、暂停按钮及清零按钮。 3每到一秒钟有声音提醒功能,可通过按钮打开及关闭该提醒音。 压缩包中包含proteus仿真图、hex文件和C语言源代码,代码中写有详尽的注释,一看就能懂
2019-12-21 20:25:05 23KB C51 秒表
1
可以控制暂停以及复位清零,共打包两个程序,都很全面。随你选择,绝不雷同
1
数字秒表 课程设计 主要包括启动、暂停、继续和清零等功能,计数范围是0:00:00~9分59秒99毫秒
2019-12-21 19:22:54 189KB 数字秒表
1
首先由毫秒信号产生电路生产毫秒信号,将此信号接到毫秒计数器的信号输入端。接着,在这个毫秒信号的驱动下,毫秒计数器向秒计数器进位,秒计数器向分计数器进位,最后通过译码器将计数器中的状态以时间的形式显示出来,这样就实现了时间的计数和显示功能
2019-12-21 18:54:23 884KB 数字式秒表
1