1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理
2019-12-21 21:58:32 375KB 数字电子技术 multisim
1
病房呼叫系统,数字逻辑实验课程设计。很好的。
2019-12-21 21:35:28 41KB 病房呼叫
1
本资源可供大家参考 不足之地请指教本资源涉及到数电的课程设计,容易理解
2019-12-21 21:21:40 193KB 号的,模电 ,电路设计
1
EDA课程设计病房呼叫系统,自己写的,课程设计,经过测试成功,里面有比较详细的教程,对于菜鸟应该也能懂~~
2019-12-21 21:19:57 64KB EDA 病房呼叫系统 病房呼叫 5人
1
数字电子技术课程设计 医院病房呼叫系统 纯VeriLog代码实现,涉及二分法,4位数码管译码器,和蜂鸣器的使用等。 比较适合入门或者参考为课设。
1
可以实现: 1)用8个开关模拟8个呼叫输入,1号开关优先权最高,2号次之。 2)对某个呼叫响应时,进行显示(7段显示器),语音报呼5秒。
2019-12-21 21:07:02 221KB 数电 multisim
1
一、设计(论文)内容 1. 用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低; 2. 用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示); 3. 凡有呼叫发出5秒的呼叫声; 4. 对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。
2019-12-21 21:04:59 377KB 通信 呼叫系统
1
中南大学数电的课程设计 病房呼叫系统的设计
2019-12-21 20:15:21 7.45MB 中南 数电 病房呼叫系统
1
数字电路课程设计——病房呼叫系统,当初帮我拿到优的课程设计,希望对大家有帮助。
2019-12-21 19:29:09 1.04MB 数字电路 课程设计 病房呼叫系统
1
很好的一个设计报告,8个病房呼叫的,有分优先级,显示当前呼叫时间,有原理图和所有调试过的程序,老师的评价很高哦
2019-12-21 19:20:53 465KB 病房控制系统
1