单片机课程设计源代码和PROTEUS仿真图
2021-12-16 14:30:04 27KB 出租车计价器 课程设计 单片机 代码
1
出租车计价器 基于51单片机的出租车计价器设计程序 LCD显示
2021-12-16 14:24:05 7KB LCD 单片机
1
出租车计价系统是以FPGA平台为中心、以VHDL语言为基础、通过二端开关控制并实现出租车费用计价、里程计数及等待时间计时,并附有起步价可调,LED显示和复位等功能。本设计在QuartusII的软件平台下实现了主模块和译码模块,扫描模块,及跑马灯模块的引脚连接,集成度佳,可拓展空间大,有一定的应用价值。
2021-12-13 16:31:53 96KB 出租车计价系统
1
51单片机课程设计,使用的是汇编语言,包括电子钟,四键电子琴,1602液晶的出租车计价器,喜欢的可以看看,不过电子钟的年份判断上没有判断闰年的情况,可以上网搜一下。出租车计价器有时钟,显示,键盘...
1
乘客在乘坐出租车时常常因为不知道实际路程与时间,在计算价钱时与司机发生矛盾。因此本实验设计了一款在出租车内使用的,能够实时显示当前价格,路程与时间的计价器。本实验在EEEC-020A计算机组成/ISP实验系统上对设计进行验证。
2021-12-04 14:04:25 9.18MB VHDL VERILOG 出租车计价器 qurartus仿真
1
出租车计价器设计
2021-11-29 09:05:04 67KB LabVIEW
1
VHDL实现出租车计价器,可以模仿出租车启动计程、停止等待、译码显示功能
2021-11-17 16:16:30 3MB VHDL 计价器
1
EDA课程的VHDL设计实例:出租车计价器。包括满三公里开始计费,满20元改变价格,暂停,加速等功能
2021-10-27 09:03:27 8KB VHDL EDA 出租车计价器
1
实验要求(此资源为源程序,开发语言 VHDL 仿真加实物皆通过,报告下载地址见最后): 设计一个出租车计价器,要求显示里程和金额。起步和到达停车时要求有声音提示;行程小于基本里程时,显示起步价,基本里程、起步价要求可通过按键自己设定;行程大于基本里程时,每多行一公里,在起步价上加X元,X可由按键设定;当出租车等待时,由司机按下等候键,每等待一分钟加Y元,Y可由按键设定;用脉冲信号模拟轮胎的转数,设每计一个脉冲汽车前进100米,系统中所需脉冲均由实验箱的50MHz晶振分频提供。 **上面要求全部完成,外加设计报告报告(纯手工打造,只缺填写名字了,绝对分有所值),报告下载地址:http://download.csdn.net/source/2472872
1
里面包含有基于VHDL语言编写的出租车计价器程序并有详细的注释说明,同时附上仿真图,可以顺利实现。
2021-10-19 00:33:58 84KB 出租车计价器VHDL程序 仿真图
1