1、设计一个能进行拔河游戏的电路。 2、电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,亮点移动一次。 4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、用数码管显示双方按键的次数。
2019-12-21 20:22:29 73KB 拔河游戏课程设计
1
Multisim做的“拔河游戏机”仿真电路,可以用于课程设计和毕业设计。
2019-12-21 20:22:13 248KB Multisim
1
拔河游戏机报告+程序,
2019-12-21 20:03:20 303KB 拔河游戏机 程序 课程设计
1
上Verilog后,老师布置的作业,上网找了下答案,大部分都是不能运行的,只好自己改,运行成功!可能有不完整部分,希望你自己改进!
2019-12-21 19:26:31 28KB Verilog 拔河游戏机
1
15个LED灯,点阵屏显示比分,添加有音乐模块,胜出时会播放音乐
2019-12-21 19:24:16 4.88MB 拔河游戏机
1
拔河游戏机 vhdl 设计 采用框图设计 费了很大功夫
2019-12-21 18:55:11 2.73MB 拔河 游戏机
1